OpenCores
URL https://opencores.org/ocsvn/sgmii/sgmii/trunk

Subversion Repositories sgmii

[/] [sgmii/] [trunk/] [build/] [OpenCore_MAC/] [MAC_top.v] - Blame information for rev 26

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 26 jefflieu
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  MAC_top.v                                                   ////
4
////                                                              ////
5
////  This file is part of the Ethernet IP core project           ////
6
////  http://www.opencores.org/projects.cgi/web/ethernet_tri_mode/////
7
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Jon Gao (gaojon@yahoo.com)                            ////
10
////                                                              ////
11
////                                                              ////
12
//////////////////////////////////////////////////////////////////////
13
////                                                              ////
14
//// Copyright (C) 2001 Authors                                   ////
15
////                                                              ////
16
//// This source file may be used and distributed without         ////
17
//// restriction provided that this copyright statement is not    ////
18
//// removed from the file and that any derivative work contains  ////
19
//// the original copyright notice and the associated disclaimer. ////
20
////                                                              ////
21
//// This source file is free software; you can redistribute it   ////
22
//// and/or modify it under the terms of the GNU Lesser General   ////
23
//// Public License as published by the Free Software Foundation; ////
24
//// either version 2.1 of the License, or (at your option) any   ////
25
//// later version.                                               ////
26
////                                                              ////
27
//// This source is distributed in the hope that it will be       ////
28
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
29
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
30
//// PURPOSE.  See the GNU Lesser General Public License for more ////
31
//// details.                                                     ////
32
////                                                              ////
33
//// You should have received a copy of the GNU Lesser General    ////
34
//// Public License along with this source; if not, download it   ////
35
//// from http://www.opencores.org/lgpl.shtml                     ////
36
////                                                              ////
37
//////////////////////////////////////////////////////////////////////
38
//                                                                    
39
// CVS Revision History                                               
40
//                                                                    
41
// $Log: not supported by cvs2svn $
42
// Revision 1.3  2006/01/19 14:07:52  maverickist
43
// verification is complete.
44
//
45
// Revision 1.2  2005/12/16 06:44:13  Administrator
46
// replaced tab with space.
47
// passed 9.6k length frame test.
48
//
49
// Revision 1.1.1.1  2005/12/13 01:51:44  Administrator
50
// no message
51
// 
52
// Due to CycloneIV starter board uses SGMII interface
53
// It's possible to remove the Phy_int module, and use PCS/PMA of Cyclone GxB
54
// Added Clk_MACTx and remove clock module as not necessary anymore
55
 
56
module MAC_top(
57
                //system signals
58
input           Reset                   ,
59
input           Clk_user                ,
60
input           Clk_reg                 ,
61
 
62
 
63
output  [2:0]   Speed                   ,
64
                //user interface 
65
output          Rx_mac_ra               ,
66
input           Rx_mac_rd               ,
67
output  [31:0]  Rx_mac_data             ,
68
output  [1:0]   Rx_mac_BE               ,
69
output          Rx_mac_pa               ,
70
output          Rx_mac_sop              ,
71
output          Rx_mac_eop              ,
72
                //user interface 
73
output          Tx_mac_wa               ,
74
input           Tx_mac_wr               ,
75
input   [31:0]  Tx_mac_data             ,
76
input   [1:0]   Tx_mac_BE               ,//big endian
77
input           Tx_mac_sop              ,
78
input           Tx_mac_eop              ,
79
                //pkg_lgth fifo
80
input           Pkg_lgth_fifo_rd        ,
81
output          Pkg_lgth_fifo_ra        ,
82
output  [15:0]  Pkg_lgth_fifo_data      ,
83
                //Phy interface          
84
                //Phy interface         
85
output                  Gtx_clk_d                               ,//shifted clock
86
output          Gtx_clk                 ,//used only in GMII mode
87
input                   GMII_Tx_clk                             ,
88
input                   GMII_Rx_clk                             ,
89
input           Rx_clk                  ,
90
input           Tx_clk                  ,//used only in MII mode
91
output          Tx_er                   ,
92
output          Tx_en                   ,
93
output  [7:0]   Txd                     ,
94
input           Rx_er                   ,
95
input           Rx_dv                   ,
96
input   [7:0]   Rxd                     ,
97
input           Crs                     ,
98
input           Col                     ,
99
                //host interface
100
input           CSB                     ,
101
input           WRB                     ,
102
input   [15:0]  CD_in                   ,
103
output  [15:0]  CD_out                  ,
104
input   [7:0]   CA                      ,
105
 
106
output  [23:0]  Monitoring                                        ,
107
                //mdx
108
output          Mdo,                // MII Management Data Output
109
output          MdoEn,              // MII Management Data Output Enable
110
input           Mdi,
111
output          Mdc                      // MII Management Data Clock       
112
 
113
);
114
//******************************************************************************
115
//internal signals                                                              
116
//******************************************************************************
117
                //RMON interface
118
wire    [15:0]  Rx_pkt_length_rmon      ;
119
wire            Rx_apply_rmon           ;
120
wire    [2:0]   Rx_pkt_err_type_rmon    ;
121
wire    [2:0]   Rx_pkt_type_rmon        ;
122
wire    [2:0]   Tx_pkt_type_rmon        ;
123
wire    [15:0]  Tx_pkt_length_rmon      ;
124
wire            Tx_apply_rmon           ;
125
wire    [2:0]   Tx_pkt_err_type_rmon    ;
126
                //PHY interface
127
wire            MCrs_dv                 ;
128
wire    [7:0]   MRxD                    ;
129
wire            MRxErr                  ;
130
                //flow_control signals  
131
wire    [15:0]  pause_quanta            ;
132
wire            pause_quanta_val        ;
133
                //PHY interface
134
wire    [7:0]   MTxD                    ;
135
wire            MTxEn                   ;
136
wire            MCRS                    ;
137
                //interface clk signals
138
wire            MAC_tx_clk              ;
139
wire            MAC_rx_clk              ;
140
wire            MAC_tx_clk_div          ;
141
wire            MAC_rx_clk_div          ;
142
                //reg signals   
143
wire    [4:0]    Tx_Hwmark                               ;
144
wire    [4:0]    Tx_Lwmark                               ;
145
wire                    pause_frame_send_en             ;
146
wire    [15:0]   pause_quanta_set                ;
147
wire                    MAC_tx_add_en                   ;
148
wire                    FullDuplex                      ;
149
wire    [3:0]    MaxRetry                        ;
150
wire    [5:0]    IFGset                                  ;
151
wire    [7:0]    MAC_tx_add_prom_data    ;
152
wire    [2:0]    MAC_tx_add_prom_add             ;
153
wire                    MAC_tx_add_prom_wr              ;
154
wire                    tx_pause_en                             ;
155
wire                    xoff_cpu                        ;
156
wire                    xon_cpu                 ;
157
                        //Rx host interface      
158
wire                    MAC_rx_add_chk_en               ;
159
wire    [7:0]    MAC_rx_add_prom_data    ;
160
wire    [2:0]    MAC_rx_add_prom_add             ;
161
wire                    MAC_rx_add_prom_wr              ;
162
wire                    broadcast_filter_en         ;
163
wire    [15:0]   broadcast_MAX           ;
164
wire                    RX_APPEND_CRC                   ;
165
wire    [4:0]    Rx_Hwmark                           ;
166
wire    [4:0]    Rx_Lwmark                           ;
167
wire                    CRC_chk_en                              ;
168
wire    [5:0]    RX_IFG_SET                              ;
169
wire    [15:0]   RX_MAX_LENGTH                   ;
170
wire    [6:0]    RX_MIN_LENGTH                   ;
171
                                        //RMON host interface    
172
wire    [5:0]    CPU_rd_addr                             ;
173
wire                    CPU_rd_apply                    ;
174
wire                    CPU_rd_grant                    ;
175
wire    [31:0]   CPU_rd_dout                             ;
176
                                        //Phy int host interface 
177
wire                    Line_loop_en                    ;
178
                                        //MII to CPU             
179
wire    [7:0]    Divider                         ;
180
wire    [15:0]   CtrlData                        ;
181
wire    [4:0]    Rgad                            ;
182
wire    [4:0]    Fiad                            ;
183
wire            NoPre                           ;
184
wire            WCtrlData                       ;
185
wire            RStat                           ;
186
wire            ScanStat                        ;
187
wire            Busy                            ;
188
wire            LinkFail                        ;
189
wire            Nvalid                          ;
190
wire    [15:0]   Prsd                            ;
191
wire            WCtrlDataStart                  ;
192
wire            RStatStart                      ;
193
wire            UpdateMIIRX_DATAReg             ;
194
wire    [15:0]  broadcast_bucket_depth              ;
195
wire    [15:0]  broadcast_bucket_interval           ;
196
wire            Pkg_lgth_fifo_empty;
197
 
198
reg             rx_pkg_lgth_fifo_wr_tmp;
199
reg             rx_pkg_lgth_fifo_wr_tmp_pl1;
200
reg             rx_pkg_lgth_fifo_wr;
201
 
202
//******************************************************************************
203
//internal signals                                                              
204
//******************************************************************************
205
MAC_rx U_MAC_rx(
206
.Monitoring                                              (Monitoring),
207
.Reset                      (Reset                      ),
208
.Clk_user                   (Clk_user                   ),
209
.Clk                        (MAC_rx_clk_div             ),
210
 //RMII interface           (//PHY interface            ),  
211
.MCrs_dv                    (MCrs_dv                    ),
212
.MRxD                       (MRxD                       ),
213
.MRxErr                     (MRxErr                     ),
214
 //flow_control signals     (//flow_control signals     ),  
215
.pause_quanta               (pause_quanta               ),
216
.pause_quanta_val           (pause_quanta_val           ),
217
 //user interface           (//user interface           ),  
218
.Rx_mac_ra                  (Rx_mac_ra                  ),
219
.Rx_mac_rd                  (Rx_mac_rd                  ),
220
.Rx_mac_data                (Rx_mac_data                ),
221
.Rx_mac_BE                  (Rx_mac_BE                  ),
222
.Rx_mac_pa                  (Rx_mac_pa                  ),
223
.Rx_mac_sop                 (Rx_mac_sop                 ),
224
.Rx_mac_eop                 (Rx_mac_eop                 ),
225
 //CPU                      (//CPU                      ),  
226
.MAC_rx_add_chk_en          (MAC_rx_add_chk_en          ),
227
.MAC_add_prom_data          (MAC_rx_add_prom_data       ),
228
.MAC_add_prom_add           (MAC_rx_add_prom_add        ),
229
.MAC_add_prom_wr            (MAC_rx_add_prom_wr         ),
230
.broadcast_filter_en        (broadcast_filter_en        ),
231
.broadcast_bucket_depth     (broadcast_bucket_depth     ),
232
.broadcast_bucket_interval  (broadcast_bucket_interval  ),
233
.RX_APPEND_CRC              (RX_APPEND_CRC              ),
234
.Rx_Hwmark                  (Rx_Hwmark                  ),
235
.Rx_Lwmark                  (Rx_Lwmark                  ),
236
.CRC_chk_en                 (CRC_chk_en                 ),
237
.RX_IFG_SET                 (RX_IFG_SET                 ),
238
.RX_MAX_LENGTH              (RX_MAX_LENGTH              ),
239
.RX_MIN_LENGTH              (RX_MIN_LENGTH              ),
240
 //RMON interface           (//RMON interface           ),  
241
.Rx_pkt_length_rmon         (Rx_pkt_length_rmon         ),
242
.Rx_apply_rmon              (Rx_apply_rmon              ),
243
.Rx_pkt_err_type_rmon       (Rx_pkt_err_type_rmon       ),
244
.Rx_pkt_type_rmon           (Rx_pkt_type_rmon           )
245
);
246
 
247
MAC_tx U_MAC_tx(
248
.Reset                      (Reset                      ),
249
.Clk                        (MAC_tx_clk_div             ),
250
.Clk_user                   (Clk_user                   ),
251
 //PHY interface            (//PHY interface            ),
252
.TxD                        (MTxD                       ),
253
.TxEn                       (MTxEn                      ),
254
.CRS                        (MCRS                       ),
255
 //RMON                     (//RMON                     ),
256
.Tx_pkt_type_rmon           (Tx_pkt_type_rmon           ),
257
.Tx_pkt_length_rmon         (Tx_pkt_length_rmon         ),
258
.Tx_apply_rmon              (Tx_apply_rmon              ),
259
.Tx_pkt_err_type_rmon       (Tx_pkt_err_type_rmon       ),
260
 //user interface           (//user interface           ),
261
.Tx_mac_wa                  (Tx_mac_wa                  ),
262
.Tx_mac_wr                  (Tx_mac_wr                  ),
263
.Tx_mac_data                (Tx_mac_data                ),
264
.Tx_mac_BE                  (Tx_mac_BE                  ),
265
.Tx_mac_sop                 (Tx_mac_sop                 ),
266
.Tx_mac_eop                 (Tx_mac_eop                 ),
267
 //host interface           (//host interface           ),
268
.Tx_Hwmark                  (Tx_Hwmark                  ),
269
.Tx_Lwmark                  (Tx_Lwmark                  ),
270
.pause_frame_send_en        (pause_frame_send_en        ),
271
.pause_quanta_set           (pause_quanta_set           ),
272
.MAC_tx_add_en              (MAC_tx_add_en              ),
273
.FullDuplex                 (FullDuplex                 ),
274
.MaxRetry                   (MaxRetry                   ),
275
.IFGset                     (IFGset                     ),
276
.MAC_add_prom_data          (MAC_tx_add_prom_data       ),
277
.MAC_add_prom_add           (MAC_tx_add_prom_add        ),
278
.MAC_add_prom_wr            (MAC_tx_add_prom_wr         ),
279
.tx_pause_en                (tx_pause_en                ),
280
.xoff_cpu                   (xoff_cpu                   ),
281
.xon_cpu                    (xon_cpu                    ),
282
 //MAC_rx_flow              (//MAC_rx_flow              ),
283
.pause_quanta               (pause_quanta               ),
284
.pause_quanta_val           (pause_quanta_val           )
285
);
286
 
287
 
288
assign Pkg_lgth_fifo_ra=!Pkg_lgth_fifo_empty;
289
always @ (posedge Reset or posedge MAC_rx_clk_div)
290
    if (Reset)
291
        rx_pkg_lgth_fifo_wr_tmp <=0;
292
    else if(Rx_apply_rmon&&Rx_pkt_err_type_rmon==3'b100)
293
        rx_pkg_lgth_fifo_wr_tmp <=1;
294
    else
295
        rx_pkg_lgth_fifo_wr_tmp <=0;
296
 
297
always @ (posedge Reset or posedge MAC_rx_clk_div)
298
    if (Reset)
299
        rx_pkg_lgth_fifo_wr_tmp_pl1 <=0;
300
    else
301
        rx_pkg_lgth_fifo_wr_tmp_pl1 <=rx_pkg_lgth_fifo_wr_tmp;
302
 
303
always @ (posedge Reset or posedge MAC_rx_clk_div)
304
    if (Reset)
305
        rx_pkg_lgth_fifo_wr <=0;
306
    else if(rx_pkg_lgth_fifo_wr_tmp&!rx_pkg_lgth_fifo_wr_tmp_pl1)
307
        rx_pkg_lgth_fifo_wr <=1;
308
    else
309
        rx_pkg_lgth_fifo_wr <=0;
310
 
311
afifo U_rx_pkg_lgth_fifo (
312
.din                        (RX_APPEND_CRC?Rx_pkt_length_rmon:Rx_pkt_length_rmon-4),
313
.wr_en                      (rx_pkg_lgth_fifo_wr        ),
314
.wr_clk                     (MAC_rx_clk_div             ),
315
.rd_en                      (Pkg_lgth_fifo_rd           ),
316
.rd_clk                     (Clk_user                   ),
317
.ainit                      (Reset                      ),
318
.dout                       (Pkg_lgth_fifo_data         ),
319
.full                       (                           ),
320
.almost_full                (                           ),
321
.empty                      (Pkg_lgth_fifo_empty        ),
322
.wr_count                   (                           ),
323
.rd_count                   (                           ),
324
.rd_ack                     (                           ),
325
.wr_ack                     (                           ));
326
 
327
 
328
RMON U_RMON(
329
.Clk                        (Clk_reg                    ),
330
.Reset                      (Reset                      ),
331
 //Tx_RMON                  (//Tx_RMON                  ),
332
.Tx_pkt_type_rmon           (Tx_pkt_type_rmon           ),
333
.Tx_pkt_length_rmon         (Tx_pkt_length_rmon         ),
334
.Tx_apply_rmon              (Tx_apply_rmon              ),
335
.Tx_pkt_err_type_rmon       (Tx_pkt_err_type_rmon       ),
336
 //Tx_RMON                  (//Tx_RMON                  ),
337
.Rx_pkt_type_rmon           (Rx_pkt_type_rmon           ),
338
.Rx_pkt_length_rmon         (Rx_pkt_length_rmon         ),
339
.Rx_apply_rmon              (Rx_apply_rmon              ),
340
.Rx_pkt_err_type_rmon       (Rx_pkt_err_type_rmon       ),
341
 //CPU                      (//CPU                      ),
342
.CPU_rd_addr                (CPU_rd_addr                ),
343
.CPU_rd_apply               (CPU_rd_apply               ),
344
.CPU_rd_grant               (CPU_rd_grant               ),
345
.CPU_rd_dout                (CPU_rd_dout                )
346
);
347
 
348
 
349
 
350
//Instead, tie signals from Tx/Rx statemachine directly to top
351
assign Tx_er  = 1'b0;
352
assign Tx_en  = MTxEn;
353
assign Txd    = MTxD;
354
assign MRxErr = Rx_er;
355
assign MCrs_dv= Rx_dv;
356
assign MRxD   = Rxd;
357
 
358
/* This module is disable */
359
//Phy_int U_Phy_int(
360
//.Reset                      (Reset                      ),
361
//.MAC_rx_clk                 (MAC_rx_clk                 ),
362
//.MAC_tx_clk                 (MAC_tx_clk                 ),
363
// //Rx interface             (//Rx interface             ),
364
//.MCrs_dv                    (MCrs_dv                    ),
365
//.MRxD                       (MRxD                       ),
366
//.MRxErr                     (MRxErr                     ),
367
// //Tx interface             (//Tx interface             ),
368
//.MTxD                       (MTxD                       ),
369
//.MTxEn                      (MTxEn                      ),
370
//.MCRS                       (MCRS                       ),
371
// //Phy interface            (//Phy interface            ),
372
//.Tx_er                      (Tx_er                      ),
373
//.Tx_en                      (Tx_en                      ),
374
//.Txd                        (Txd                        ),
375
//.Rx_er                      (Rx_er                      ),
376
//.Rx_dv                      (Rx_dv                      ),
377
//.Rxd                        (Rxd                        ),
378
//.Crs                        (Crs                        ),
379
//.Col                        (Col                        ),
380
// //host interface           (//host interface           ),
381
//.Line_loop_en               (Line_loop_en               ),
382
//.Speed                      (Speed                      )
383
//);
384
 
385
        assign MAC_tx_clk_div = GMII_Tx_clk;
386
        assign MAC_rx_clk_div = GMII_Rx_clk;
387
 
388
 
389
/* This block is no longer necessary */
390
/*
391
Clk_ctrl U_Clk_ctrl(
392
.Reset                      (Reset                      ),
393
.Clk_125M                   (Clk_125M                   ),
394
.Clk_25M                                        (Clk_25M),
395
.Clk_125M_90                            (Clk_125M_90),
396
.Clk_25M_90                                     (Clk_25M_90),
397
 //host interface           (//host interface           ),
398
.Speed                      (Speed                      ),
399
 //Phy interface            (//Phy interface            ),
400
.Gtx_clk                    (Gtx_clk                    ),
401
.Rx_clk                     (Rx_clk                     ),
402
//.Tx_clk                     (Tx_clk                     ),
403
 //interface clk            (//interface clk            ),
404
.MAC_tx_clk_d                           (Gtx_clk_d),
405
.MAC_tx_clk                 (MAC_tx_clk                 ),
406
.MAC_rx_clk                 (MAC_rx_clk                 ),
407
.MAC_tx_clk_div             (MAC_tx_clk_div             ),
408
.MAC_rx_clk_div             (MAC_rx_clk_div)
409
);*/
410
 
411
eth_miim U_eth_miim(
412
.Clk                        (Clk_reg                    ),
413
.Reset                      (Reset                      ),
414
.Divider                    (Divider                    ),
415
.NoPre                      (NoPre                      ),
416
.CtrlData                   (CtrlData                   ),
417
.Rgad                       (Rgad                       ),
418
.Fiad                       (Fiad                       ),
419
.WCtrlData                  (WCtrlData                  ),
420
.RStat                      (RStat                      ),
421
.ScanStat                   (ScanStat                   ),
422
.Mdo                        (Mdo                        ),
423
.MdoEn                      (MdoEn                      ),
424
.Mdi                        (Mdi                        ),
425
.Mdc                        (Mdc                        ),
426
.Busy                       (Busy                       ),
427
.Prsd                       (Prsd                       ),
428
.LinkFail                   (LinkFail                   ),
429
.Nvalid                     (Nvalid                     ),
430
.WCtrlDataStart             (WCtrlDataStart             ),
431
.RStatStart                 (RStatStart                 ),
432
.UpdateMIIRX_DATAReg        (UpdateMIIRX_DATAReg        ));
433
 
434
Reg_int U_Reg_int(
435
.Reset                          (Reset                          ),
436
.Clk_reg                        (Clk_reg                        ),
437
.CSB                        (CSB                        ),
438
.WRB                        (WRB                        ),
439
.CD_in                      (CD_in                      ),
440
.CD_out                     (CD_out                     ),
441
.CA                         (CA                         ),
442
 //Tx host interface        (//Tx host interface        ),
443
.Tx_Hwmark                                  (Tx_Hwmark                              ),
444
.Tx_Lwmark                                  (Tx_Lwmark                              ),
445
.pause_frame_send_en            (pause_frame_send_en            ),
446
.pause_quanta_set                   (pause_quanta_set               ),
447
.MAC_tx_add_en                      (MAC_tx_add_en                          ),
448
.FullDuplex                     (FullDuplex                     ),
449
.MaxRetry                           (MaxRetry                       ),
450
.IFGset                                     (IFGset                                         ),
451
.MAC_tx_add_prom_data       (MAC_tx_add_prom_data           ),
452
.MAC_tx_add_prom_add            (MAC_tx_add_prom_add            ),
453
.MAC_tx_add_prom_wr                 (MAC_tx_add_prom_wr             ),
454
.tx_pause_en                            (tx_pause_en                            ),
455
.xoff_cpu                           (xoff_cpu                       ),
456
.xon_cpu                        (xon_cpu                        ),
457
 //Rx host interface        (//Rx host interface            ),
458
.MAC_rx_add_chk_en                  (MAC_rx_add_chk_en              ),
459
.MAC_rx_add_prom_data       (MAC_rx_add_prom_data           ),
460
.MAC_rx_add_prom_add            (MAC_rx_add_prom_add            ),
461
.MAC_rx_add_prom_wr                 (MAC_rx_add_prom_wr             ),
462
.broadcast_filter_en        (broadcast_filter_en            ),
463
.broadcast_bucket_depth     (broadcast_bucket_depth     ),
464
.broadcast_bucket_interval  (broadcast_bucket_interval  ),
465
.RX_APPEND_CRC                      (RX_APPEND_CRC                          ),
466
.Rx_Hwmark                              (Rx_Hwmark                                      ),
467
.Rx_Lwmark                  (Rx_Lwmark                  ),
468
.CRC_chk_en                                 (CRC_chk_en                             ),
469
.RX_IFG_SET                                 (RX_IFG_SET                             ),
470
.RX_MAX_LENGTH                      (RX_MAX_LENGTH                          ),
471
.RX_MIN_LENGTH                      (RX_MIN_LENGTH                          ),
472
 //RMON host interface      (//RMON host interface      ),
473
.CPU_rd_addr                            (CPU_rd_addr                            ),
474
.CPU_rd_apply                       (CPU_rd_apply                           ),
475
.CPU_rd_grant                       (CPU_rd_grant                           ),
476
.CPU_rd_dout                            (CPU_rd_dout                            ),
477
 //Phy int host interface   (//Phy int host interface   ),
478
.Line_loop_en                       (Line_loop_en                           ),
479
.Speed                                      (Speed                                          ),
480
 //MII to CPU               (//MII to CPU               ),
481
.Divider                        (Divider                        ),
482
.CtrlData                       (CtrlData                       ),
483
.Rgad                           (Rgad                           ),
484
.Fiad                           (Fiad                           ),
485
.NoPre                          (NoPre                          ),
486
.WCtrlData                      (WCtrlData                      ),
487
.RStat                          (RStat                          ),
488
.ScanStat                       (ScanStat                       ),
489
.Busy                           (Busy                           ),
490
.LinkFail                       (LinkFail                       ),
491
.Nvalid                         (Nvalid                         ),
492
.Prsd                           (Prsd                           ),
493
.WCtrlDataStart                 (WCtrlDataStart                 ),
494
.RStatStart                     (RStatStart                     ),
495
.UpdateMIIRX_DATAReg            (UpdateMIIRX_DATAReg            )
496
);
497
 
498
endmodule
499
 
500
 
501
 
502
 
503
 
504
 
505
 
506
 
507
 
508
 
509
 
510
 
511
 
512
 
513
 
514
 
515
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.