OpenCores
URL https://opencores.org/ocsvn/sgmii/sgmii/trunk

Subversion Repositories sgmii

[/] [sgmii/] [trunk/] [build/] [OpenCore_MAC/] [TECH/] [altera/] [DDR_I.v] - Blame information for rev 26

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 26 jefflieu
// megafunction wizard: %ALTDDIO_IN%
2
// GENERATION: STANDARD
3
// VERSION: WM1.0
4
// MODULE: altddio_in 
5
 
6
// ============================================================
7
// File Name: DDR_I.v
8
// Megafunction Name(s):
9
//                      altddio_in
10
//
11
// Simulation Library Files(s):
12
//                      altera_mf
13
// ============================================================
14
// ************************************************************
15
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
//
17
// 9.0 Build 235 06/17/2009 SP 2 SJ Full Version
18
// ************************************************************
19
 
20
 
21
//Copyright (C) 1991-2009 Altera Corporation
22
//Your use of Altera Corporation's design tools, logic functions 
23
//and other software and tools, and its AMPP partner logic 
24
//functions, and any output files from any of the foregoing 
25
//(including device programming or simulation files), and any 
26
//associated documentation or information are expressly subject 
27
//to the terms and conditions of the Altera Program License 
28
//Subscription Agreement, Altera MegaCore Function License 
29
//Agreement, or other applicable license agreement, including, 
30
//without limitation, that your use is for the sole purpose of 
31
//programming logic devices manufactured by Altera and sold by 
32
//Altera or its authorized distributors.  Please refer to the 
33
//applicable agreement for further details.
34
 
35
 
36
// synopsys translate_off
37
`timescale 1 ps / 1 ps
38
// synopsys translate_on
39
module DDR_I (
40
        datain,
41
        inclock,
42
        dataout_h,
43
        dataout_l);
44
 
45
        input   [4:0]  datain;
46
        input     inclock;
47
        output  [4:0]  dataout_h;
48
        output  [4:0]  dataout_l;
49
 
50
        wire [4:0] sub_wire0;
51
        wire [4:0] sub_wire1;
52
        wire [4:0] dataout_h = sub_wire0[4:0];
53
        wire [4:0] dataout_l = sub_wire1[4:0];
54
 
55
        altddio_in      altddio_in_component (
56
                                .datain (datain),
57
                                .inclock (inclock),
58
                                .dataout_h (sub_wire0),
59
                                .dataout_l (sub_wire1),
60
                                .aclr (1'b0),
61
                                .aset (1'b0),
62
                                .inclocken (1'b1),
63
                                .sclr (1'b0),
64
                                .sset (1'b0));
65
        defparam
66
                altddio_in_component.intended_device_family = "Arria II GX",
67
                altddio_in_component.invert_input_clocks = "OFF",
68
                altddio_in_component.lpm_type = "altddio_in",
69
                altddio_in_component.power_up_high = "OFF",
70
                altddio_in_component.width = 5;
71
 
72
 
73
endmodule
74
 
75
// ============================================================
76
// CNX file retrieval info
77
// ============================================================
78
// Retrieval info: PRIVATE: ARESET_MODE NUMERIC "2"
79
// Retrieval info: PRIVATE: CLKEN NUMERIC "0"
80
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Arria II GX"
81
// Retrieval info: PRIVATE: INVERT_INPUT_CLOCKS NUMERIC "0"
82
// Retrieval info: PRIVATE: POWER_UP_HIGH NUMERIC "0"
83
// Retrieval info: PRIVATE: SRESET_MODE NUMERIC "2"
84
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
85
// Retrieval info: PRIVATE: WIDTH NUMERIC "5"
86
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Arria II GX"
87
// Retrieval info: CONSTANT: INVERT_INPUT_CLOCKS STRING "OFF"
88
// Retrieval info: CONSTANT: LPM_TYPE STRING "altddio_in"
89
// Retrieval info: CONSTANT: POWER_UP_HIGH STRING "OFF"
90
// Retrieval info: CONSTANT: WIDTH NUMERIC "5"
91
// Retrieval info: USED_PORT: datain 0 0 5 0 INPUT NODEFVAL datain[4..0]
92
// Retrieval info: USED_PORT: dataout_h 0 0 5 0 OUTPUT NODEFVAL dataout_h[4..0]
93
// Retrieval info: USED_PORT: dataout_l 0 0 5 0 OUTPUT NODEFVAL dataout_l[4..0]
94
// Retrieval info: USED_PORT: inclock 0 0 0 0 INPUT_CLK_EXT NODEFVAL inclock
95
// Retrieval info: CONNECT: @datain 0 0 5 0 datain 0 0 5 0
96
// Retrieval info: CONNECT: dataout_h 0 0 5 0 @dataout_h 0 0 5 0
97
// Retrieval info: CONNECT: dataout_l 0 0 5 0 @dataout_l 0 0 5 0
98
// Retrieval info: CONNECT: @inclock 0 0 0 0 inclock 0 0 0 0
99
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
100
// Retrieval info: GEN_FILE: TYPE_NORMAL DDR_I.v TRUE
101
// Retrieval info: GEN_FILE: TYPE_NORMAL DDR_I.ppf TRUE
102
// Retrieval info: GEN_FILE: TYPE_NORMAL DDR_I.inc FALSE
103
// Retrieval info: GEN_FILE: TYPE_NORMAL DDR_I.cmp FALSE
104
// Retrieval info: GEN_FILE: TYPE_NORMAL DDR_I.bsf FALSE
105
// Retrieval info: GEN_FILE: TYPE_NORMAL DDR_I_inst.v FALSE
106
// Retrieval info: GEN_FILE: TYPE_NORMAL DDR_I_bb.v TRUE
107
// Retrieval info: LIB_FILE: altera_mf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.