OpenCores
URL https://opencores.org/ocsvn/sgmii/sgmii/trunk

Subversion Repositories sgmii

[/] [sgmii/] [trunk/] [build/] [OpenCore_MAC/] [TECH/] [altera/] [clkgen_bb.v] - Blame information for rev 26

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 26 jefflieu
// megafunction wizard: %ALTCLKLOCK%VBB%
2
// GENERATION: STANDARD
3
// VERSION: WM1.0
4
// MODULE: altpll 
5
 
6
// ============================================================
7
// File Name: clkgen.v
8
// Megafunction Name(s):
9
//                      altpll
10
//
11
// Simulation Library Files(s):
12
//                      altera_mf
13
// ============================================================
14
// ************************************************************
15
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
//
17
// 9.0 Build 235 06/17/2009 SP 2 SJ Full Version
18
// ************************************************************
19
 
20
//Copyright (C) 1991-2009 Altera Corporation
21
//Your use of Altera Corporation's design tools, logic functions 
22
//and other software and tools, and its AMPP partner logic 
23
//functions, and any output files from any of the foregoing 
24
//(including device programming or simulation files), and any 
25
//associated documentation or information are expressly subject 
26
//to the terms and conditions of the Altera Program License 
27
//Subscription Agreement, Altera MegaCore Function License 
28
//Agreement, or other applicable license agreement, including, 
29
//without limitation, that your use is for the sole purpose of 
30
//programming logic devices manufactured by Altera and sold by 
31
//Altera or its authorized distributors.  Please refer to the 
32
//applicable agreement for further details.
33
 
34
module clkgen (
35
        inclk0,
36
        c0,
37
        c1,
38
        c2,
39
        c3);
40
 
41
        input     inclk0;
42
        output    c0;
43
        output    c1;
44
        output    c2;
45
        output    c3;
46
 
47
endmodule
48
 
49
// ============================================================
50
// CNX file retrieval info
51
// ============================================================
52
// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0"
53
// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000"
54
// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "1"
55
// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz"
56
// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low"
57
// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1"
58
// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0"
59
// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0"
60
// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0"
61
// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0"
62
// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "1"
63
// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0"
64
// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0"
65
// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0"
66
// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0"
67
// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "Any"
68
// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1"
69
// Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "1"
70
// Retrieval info: PRIVATE: DIV_FACTOR2 NUMERIC "5"
71
// Retrieval info: PRIVATE: DIV_FACTOR3 NUMERIC "5"
72
// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
73
// Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000"
74
// Retrieval info: PRIVATE: DUTY_CYCLE2 STRING "50.00000000"
75
// Retrieval info: PRIVATE: DUTY_CYCLE3 STRING "50.00000000"
76
// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "125.000000"
77
// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "125.000000"
78
// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE2 STRING "25.000000"
79
// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE3 STRING "25.000000"
80
// Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0"
81
// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
82
// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
83
// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0"
84
// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0"
85
// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575"
86
// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"
87
// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "125.000"
88
// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"
89
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000"
90
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
91
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"
92
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"
93
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Arria II GX"
94
// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
95
// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "0"
96
// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
97
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "301.136"
98
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
99
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg"
100
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "deg"
101
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT2 STRING "deg"
102
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT3 STRING "deg"
103
// Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any"
104
// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1"
105
// Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "1"
106
// Retrieval info: PRIVATE: MULT_FACTOR2 NUMERIC "1"
107
// Retrieval info: PRIVATE: MULT_FACTOR3 NUMERIC "1"
108
// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "0"
109
// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "100.00000000"
110
// Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "100.00000000"
111
// Retrieval info: PRIVATE: OUTPUT_FREQ2 STRING "100.00000000"
112
// Retrieval info: PRIVATE: OUTPUT_FREQ3 STRING "100.00000000"
113
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0"
114
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "0"
115
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE2 STRING "0"
116
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE3 STRING "0"
117
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
118
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz"
119
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT2 STRING "MHz"
120
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT3 STRING "MHz"
121
// Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1"
122
// Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0"
123
// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000"
124
// Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "2.00000000"
125
// Retrieval info: PRIVATE: PHASE_SHIFT2 STRING "0.00000000"
126
// Retrieval info: PRIVATE: PHASE_SHIFT3 STRING "90.00000000"
127
// Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0"
128
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg"
129
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "ns"
130
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT2 STRING "deg"
131
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT3 STRING "deg"
132
// Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0"
133
// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0"
134
// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
135
// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0"
136
// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0"
137
// Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0"
138
// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0"
139
// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
140
// Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0"
141
// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
142
// Retrieval info: PRIVATE: RECONFIG_FILE STRING "clkgen.mif"
143
// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
144
// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1"
145
// Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "1"
146
// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0"
147
// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0"
148
// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000"
149
// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz"
150
// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500"
151
// Retrieval info: PRIVATE: SPREAD_USE STRING "0"
152
// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"
153
// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
154
// Retrieval info: PRIVATE: STICKY_CLK1 STRING "1"
155
// Retrieval info: PRIVATE: STICKY_CLK2 STRING "1"
156
// Retrieval info: PRIVATE: STICKY_CLK3 STRING "1"
157
// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
158
// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1"
159
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
160
// Retrieval info: PRIVATE: USE_CLK0 STRING "1"
161
// Retrieval info: PRIVATE: USE_CLK1 STRING "1"
162
// Retrieval info: PRIVATE: USE_CLK2 STRING "1"
163
// Retrieval info: PRIVATE: USE_CLK3 STRING "1"
164
// Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0"
165
// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
166
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
167
// Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "AUTO"
168
// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "1"
169
// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
170
// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "1"
171
// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"
172
// Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "1"
173
// Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50"
174
// Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "1"
175
// Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "2000"
176
// Retrieval info: CONSTANT: CLK2_DIVIDE_BY NUMERIC "5"
177
// Retrieval info: CONSTANT: CLK2_DUTY_CYCLE NUMERIC "50"
178
// Retrieval info: CONSTANT: CLK2_MULTIPLY_BY NUMERIC "1"
179
// Retrieval info: CONSTANT: CLK2_PHASE_SHIFT STRING "0"
180
// Retrieval info: CONSTANT: CLK3_DIVIDE_BY NUMERIC "5"
181
// Retrieval info: CONSTANT: CLK3_DUTY_CYCLE NUMERIC "50"
182
// Retrieval info: CONSTANT: CLK3_MULTIPLY_BY NUMERIC "1"
183
// Retrieval info: CONSTANT: CLK3_PHASE_SHIFT STRING "10000"
184
// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "8000"
185
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Arria II GX"
186
// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"
187
// Retrieval info: CONSTANT: OPERATION_MODE STRING "NO_COMPENSATION"
188
// Retrieval info: CONSTANT: PLL_TYPE STRING "Left_Right"
189
// Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED"
190
// Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_UNUSED"
191
// Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED"
192
// Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED"
193
// Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED"
194
// Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED"
195
// Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED"
196
// Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED"
197
// Retrieval info: CONSTANT: PORT_FBOUT STRING "PORT_UNUSED"
198
// Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED"
199
// Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED"
200
// Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_UNUSED"
201
// Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED"
202
// Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED"
203
// Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED"
204
// Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED"
205
// Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED"
206
// Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED"
207
// Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED"
208
// Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED"
209
// Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED"
210
// Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED"
211
// Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED"
212
// Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED"
213
// Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED"
214
// Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED"
215
// Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED"
216
// Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED"
217
// Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_USED"
218
// Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_USED"
219
// Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED"
220
// Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED"
221
// Retrieval info: CONSTANT: PORT_clk6 STRING "PORT_UNUSED"
222
// Retrieval info: CONSTANT: PORT_clk7 STRING "PORT_UNUSED"
223
// Retrieval info: CONSTANT: PORT_clk8 STRING "PORT_UNUSED"
224
// Retrieval info: CONSTANT: PORT_clk9 STRING "PORT_UNUSED"
225
// Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED"
226
// Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED"
227
// Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED"
228
// Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED"
229
// Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED"
230
// Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED"
231
// Retrieval info: CONSTANT: USING_FBMIMICBIDIR_PORT STRING "OFF"
232
// Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "7"
233
// Retrieval info: USED_PORT: @clk 0 0 7 0 OUTPUT_CLK_EXT VCC "@clk[6..0]"
234
// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0"
235
// Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1"
236
// Retrieval info: USED_PORT: c2 0 0 0 0 OUTPUT_CLK_EXT VCC "c2"
237
// Retrieval info: USED_PORT: c3 0 0 0 0 OUTPUT_CLK_EXT VCC "c3"
238
// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0"
239
// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
240
// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
241
// Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1
242
// Retrieval info: CONNECT: c3 0 0 0 0 @clk 0 0 1 3
243
// Retrieval info: CONNECT: c2 0 0 0 0 @clk 0 0 1 2
244
// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0
245
// Retrieval info: GEN_FILE: TYPE_NORMAL clkgen.v TRUE
246
// Retrieval info: GEN_FILE: TYPE_NORMAL clkgen.ppf TRUE
247
// Retrieval info: GEN_FILE: TYPE_NORMAL clkgen.inc FALSE
248
// Retrieval info: GEN_FILE: TYPE_NORMAL clkgen.cmp FALSE
249
// Retrieval info: GEN_FILE: TYPE_NORMAL clkgen.bsf FALSE
250
// Retrieval info: GEN_FILE: TYPE_NORMAL clkgen_inst.v FALSE
251
// Retrieval info: GEN_FILE: TYPE_NORMAL clkgen_bb.v TRUE
252
// Retrieval info: GEN_FILE: TYPE_NORMAL clkgen_waveforms.html FALSE
253
// Retrieval info: GEN_FILE: TYPE_NORMAL clkgen_wave*.jpg FALSE
254
// Retrieval info: LIB_FILE: altera_mf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.