OpenCores
URL https://opencores.org/ocsvn/sgmii/sgmii/trunk

Subversion Repositories sgmii

[/] [sgmii/] [trunk/] [build/] [OpenCore_MAC/] [TECH/] [duram.v] - Blame information for rev 26

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 26 jefflieu
module duram(
2
data_a,
3
data_b,
4
wren_a,
5
wren_b,
6
address_a,
7
address_b,
8
clock_a,
9
clock_b,
10
q_a,
11
q_b);   //synthesis syn_black_box
12
 
13
parameter DATA_WIDTH    = 32;
14
parameter ADDR_WIDTH    = 5;
15
parameter BLK_RAM_TYPE  = "AUTO";
16
parameter DURAM_MODE    = "BIDIR_DUAL_PORT";
17
parameter ADDR_DEPTH    = 2**ADDR_WIDTH;
18
 
19
 
20
 
21
input   [DATA_WIDTH -1:0]   data_a;
22
input                       wren_a;
23
input   [ADDR_WIDTH -1:0]   address_a;
24
input                       clock_a;
25
output  [DATA_WIDTH -1:0]   q_a;
26
input   [DATA_WIDTH -1:0]   data_b;
27
input                       wren_b;
28
input   [ADDR_WIDTH -1:0]   address_b;
29
input                       clock_b;
30
output  [DATA_WIDTH -1:0]   q_b;
31
 
32
 
33
 
34
altsyncram U_altsyncram (
35
.wren_a         (wren_a),
36
.wren_b         (wren_b),
37
.data_a         (data_a),
38
.data_b         (data_b),
39
.address_a      (address_a),
40
.address_b      (address_b),
41
.clock0         (clock_a),
42
.clock1         (clock_b),
43
.q_a            (q_a),
44
.q_b            (q_b),
45
// synopsys translate_off
46
.aclr0 (),
47
.aclr1 (),
48
.addressstall_a (),
49
.addressstall_b (),
50
.byteena_a (),
51
.byteena_b (),
52
.clocken0 (),
53
.clocken1 (),
54
.rden_b ()
55
// synopsys translate_on
56
);
57
    defparam
58
        U_altsyncram.intended_device_family = "Stratix",
59
        U_altsyncram.ram_block_type = BLK_RAM_TYPE,
60
        U_altsyncram.operation_mode = DURAM_MODE,
61
        U_altsyncram.width_a = DATA_WIDTH,
62
        U_altsyncram.widthad_a = ADDR_WIDTH,
63
//      U_altsyncram.numwords_a = 256,
64
        U_altsyncram.width_b = DATA_WIDTH,
65
        U_altsyncram.widthad_b = ADDR_WIDTH,
66
//      U_altsyncram.numwords_b = 256,
67
        U_altsyncram.lpm_type = "altsyncram",
68
        U_altsyncram.width_byteena_a = 1,
69
        U_altsyncram.width_byteena_b = 1,
70
        U_altsyncram.outdata_reg_a = "UNREGISTERED",
71
        U_altsyncram.outdata_aclr_a = "NONE",
72
        U_altsyncram.outdata_reg_b = "UNREGISTERED",
73
        U_altsyncram.indata_aclr_a = "NONE",
74
        U_altsyncram.wrcontrol_aclr_a = "NONE",
75
        U_altsyncram.address_aclr_a = "NONE",
76
        U_altsyncram.indata_reg_b = "CLOCK1",
77
        U_altsyncram.address_reg_b = "CLOCK1",
78
        U_altsyncram.wrcontrol_wraddress_reg_b = "CLOCK1",
79
        U_altsyncram.indata_aclr_b = "NONE",
80
        U_altsyncram.wrcontrol_aclr_b = "NONE",
81
        U_altsyncram.address_aclr_b = "NONE",
82
        U_altsyncram.outdata_aclr_b = "NONE",
83
        U_altsyncram.power_up_uninitialized = "FALSE";
84
 
85
endmodule
86
 
87
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.