OpenCores
URL https://opencores.org/ocsvn/sgmii/sgmii/trunk

Subversion Repositories sgmii

[/] [sgmii/] [trunk/] [src/] [mAltGX/] [mAlt8b10benc.v] - Blame information for rev 15

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 jefflieu
// megafunction wizard: %8B10B Encoder-Decoder v12.0%
2
// GENERATION: XML
3
 
4
// ============================================================
5
// Megafunction Name(s):
6
//                      mAlt8b10benc_enc8b10b
7
// ============================================================
8
// Generated by 8B10B Encoder-Decoder 12.0 [Altera, IP Toolbench 1.3.0 Build 178]
9
// ************************************************************
10
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
11
// ************************************************************
12
// Copyright (C) 1991-2012 Altera Corporation
13
// Any megafunction design, and related net list (encrypted or decrypted),
14
// support information, device programming or simulation file, and any other
15
// associated documentation or information provided by Altera or a partner
16
// under Altera's Megafunction Partnership Program may be used only to
17
// program PLD devices (but not masked PLD devices) from Altera.  Any other
18
// use of such megafunction design, net list, support information, device
19
// programming or simulation file, or any other related documentation or
20
// information is prohibited for any other purpose, including, but not
21
// limited to modification, reverse engineering, de-compiling, or use with
22
// any other silicon devices, unless such use is explicitly licensed under
23
// a separate agreement with Altera or a megafunction partner.  Title to
24
// the intellectual property, including patents, copyrights, trademarks,
25
// trade secrets, or maskworks, embodied in any such megafunction design,
26
// net list, support information, device programming or simulation file, or
27
// any other related documentation or information provided by Altera or a
28
// megafunction partner, remains with Altera, the megafunction partner, or
29
// their respective licensors.  No other licenses, including any licenses
30
// needed under any third party's intellectual property, are provided herein.
31
 
32
 
33
module mAlt8b10benc (
34
        clk,
35
        reset_n,
36
        idle_ins,
37
        kin,
38
        ena,
39
        datain,
40
        rdin,
41
        rdforce,
42
        kerr,
43
        dataout,
44
        valid,
45
        rdout,
46
        rdcascade);
47
 
48
 
49
        input           clk;
50
        input           reset_n;
51
        input           idle_ins;
52
        input           kin;
53
        input           ena;
54
        input   [7:0]    datain;
55
        input           rdin;
56
        input           rdforce;
57
        output          kerr;
58
        output  [9:0]    dataout;
59
        output          valid;
60
        output          rdout;
61
        output          rdcascade;
62
 
63
 
64
        mAlt8b10benc_enc8b10b   mAlt8b10benc_enc8b10b_inst(
65
                .clk(clk),
66
                .reset_n(reset_n),
67
                .idle_ins(idle_ins),
68
                .kin(kin),
69
                .ena(ena),
70
                .datain(datain),
71
                .rdin(rdin),
72
                .rdforce(rdforce),
73
                .kerr(kerr),
74
                .dataout(dataout),
75
                .valid(valid),
76
                .rdout(rdout),
77
                .rdcascade(rdcascade));
78
endmodule
79
 
80
// =========================================================
81
// 8B10B Encoder-Decoder Wizard Data
82
// ===============================
83
// DO NOT EDIT FOLLOWING DATA
84
// @Altera, IP Toolbench@
85
// Warning: If you modify this section, 8B10B Encoder-Decoder Wizard may not be able to reproduce your chosen configuration.
86
// 
87
// Retrieval info: <?xml version="1.0"?>
88
// Retrieval info: <MEGACORE title="8B10B Encoder-Decoder MegaCore Function"  version="12.0"  build="263"  iptb_version="1.3.0 Build 178"  format_version="120" >
89
// Retrieval info:  <NETLIST_SECTION class="altera.ipbu.flowbase.netlist.model.MVCModel"  active_core="mAlt8b10benc_enc8b10b" >
90
// Retrieval info:   <STATIC_SECTION>
91
// Retrieval info:    <PRIVATES>
92
// Retrieval info:     <NAMESPACE name = "parameterization">
93
// Retrieval info:      <PRIVATE name = "p_ed8b10b" value="1"  type="BOOLEAN"  enable="1" />
94
// Retrieval info:      <PRIVATE name = "megawizard2" value="1"  type="STRING"  enable="1" />
95
// Retrieval info:      <PRIVATE name = "activate_atstartup" value="1"  type="STRING"  enable="1" />
96
// Retrieval info:      <PRIVATE name = "window_location" value="center"  type="STRING"  enable="1" />
97
// Retrieval info:      <PRIVATE name = "p_iptb_top" value="mAlt8b10benc"  type="STRING"  enable="1" />
98
// Retrieval info:      <PRIVATE name = "p_direction" value="encoder"  type="STRING"  enable="1" />
99
// Retrieval info:      <PRIVATE name = "p_port_type" value="flop"  type="STRING"  enable="1" />
100
// Retrieval info:      <PRIVATE name = "p_family_id" value="df_stingray"  type="STRING"  enable="1" />
101
// Retrieval info:      <PRIVATE name = "p_cbx_hdl_language" value="verilog"  type="STRING"  enable="1" />
102
// Retrieval info:     </NAMESPACE>
103
// Retrieval info:     <NAMESPACE name = "quartus_settings">
104
// Retrieval info:      <PRIVATE name = "WEB_BROWSER" value="netscape"  type="STRING"  enable="1" />
105
// Retrieval info:     </NAMESPACE>
106
// Retrieval info:     <NAMESPACE name = "simgen_enable">
107
// Retrieval info:      <PRIVATE name = "language" value="Verilog HDL"  type="STRING"  enable="1" />
108
// Retrieval info:      <PRIVATE name = "enabled" value="1"  type="BOOLEAN"  enable="1" />
109
// Retrieval info:     </NAMESPACE>
110
// Retrieval info:     <NAMESPACE name = "hdl_generator">
111
// Retrieval info:      <PRIVATE name = "report" value="1"  type="BOOLEAN"  enable="1" />
112
// Retrieval info:      <PRIVATE name = "blackbox" value="0"  type="BOOLEAN"  enable="1" />
113
// Retrieval info:      <PRIVATE name = "component" value="0"  type="BOOLEAN"  enable="1" />
114
// Retrieval info:      <PRIVATE name = "symbol" value="0"  type="BOOLEAN"  enable="1" />
115
// Retrieval info:     </NAMESPACE>
116
// Retrieval info:     <NAMESPACE name = "simgen">
117
// Retrieval info:      <PRIVATE name = "filename" value="mAlt8b10benc.vo"  type="STRING"  enable="1" />
118
// Retrieval info:     </NAMESPACE>
119
// Retrieval info:     <NAMESPACE name = "greybox">
120
// Retrieval info:      <PRIVATE name = "filename" value="mAlt8b10benc_syn.v"  type="STRING"  enable="1" />
121
// Retrieval info:     </NAMESPACE>
122
// Retrieval info:     <NAMESPACE name = "serializer"/>
123
// Retrieval info:    </PRIVATES>
124
// Retrieval info:    <FILES/>
125
// Retrieval info:    <PORTS/>
126
// Retrieval info:    <LIBRARIES/>
127
// Retrieval info:   </STATIC_SECTION>
128
// Retrieval info:  </NETLIST_SECTION>
129
// Retrieval info: </MEGACORE>
130
// =========================================================
131
// RELATED_FILES: mAlt8b10benc_enc8b10b.v, mAlt8b10benc.v;
132
// IPFS_FILES: mAlt8b10benc.vo;
133
// =========================================================

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.