OpenCores
URL https://opencores.org/ocsvn/sgmii/sgmii/trunk

Subversion Repositories sgmii

[/] [sgmii/] [trunk/] [src/] [mAltGX/] [mAltArriaVlvdsRx.v] - Blame information for rev 15

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 jefflieu
// megafunction wizard: %ALTLVDS_RX%
2
// GENERATION: STANDARD
3
// VERSION: WM1.0
4
// MODULE: ALTLVDS_RX 
5
 
6
// ============================================================
7
// File Name: mAltArriaVlvdsRx.v
8
// Megafunction Name(s):
9
//                      ALTLVDS_RX
10
//
11
// Simulation Library Files(s):
12
//                      altera_mf
13
// ============================================================
14
// ************************************************************
15
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
//
17
// 12.0 Build 263 08/02/2012 SP 2 SJ Full Version
18
// ************************************************************
19
 
20
 
21
//Copyright (C) 1991-2012 Altera Corporation
22
//Your use of Altera Corporation's design tools, logic functions 
23
//and other software and tools, and its AMPP partner logic 
24
//functions, and any output files from any of the foregoing 
25
//(including device programming or simulation files), and any 
26
//associated documentation or information are expressly subject 
27
//to the terms and conditions of the Altera Program License 
28
//Subscription Agreement, Altera MegaCore Function License 
29
//Agreement, or other applicable license agreement, including, 
30
//without limitation, that your use is for the sole purpose of 
31
//programming logic devices manufactured by Altera and sold by 
32
//Altera or its authorized distributors.  Please refer to the 
33
//applicable agreement for further details.
34
 
35
 
36
// synopsys translate_off
37
`timescale 1 ps / 1 ps
38
// synopsys translate_on
39
module mAltArriaVlvdsRx (
40 15 jefflieu
        pll_areset,
41 13 jefflieu
        rx_channel_data_align,
42
        rx_in,
43
        rx_inclock,
44 15 jefflieu
        rx_divfwdclk,
45 13 jefflieu
        rx_locked,
46
        rx_out,
47
        rx_outclock);
48
 
49 15 jefflieu
        input     pll_areset;
50 13 jefflieu
        input   [0:0]  rx_channel_data_align;
51
        input   [0:0]  rx_in;
52
        input     rx_inclock;
53 15 jefflieu
        output  [0:0]  rx_divfwdclk;
54 13 jefflieu
        output    rx_locked;
55
        output  [9:0]  rx_out;
56
        output    rx_outclock;
57
 
58 15 jefflieu
        wire [0:0] sub_wire0;
59
        wire  sub_wire1;
60
        wire [9:0] sub_wire2;
61
        wire  sub_wire3;
62
        wire [0:0] rx_divfwdclk = sub_wire0[0:0];
63
        wire  rx_locked = sub_wire1;
64
        wire [9:0] rx_out = sub_wire2[9:0];
65
        wire  rx_outclock = sub_wire3;
66 13 jefflieu
 
67
        altlvds_rx      ALTLVDS_RX_component (
68
                                .rx_in (rx_in),
69
                                .rx_inclock (rx_inclock),
70 15 jefflieu
                                .pll_areset (pll_areset),
71 13 jefflieu
                                .rx_channel_data_align (rx_channel_data_align),
72 15 jefflieu
                                .rx_divfwdclk (sub_wire0),
73
                                .rx_locked (sub_wire1),
74
                                .rx_out (sub_wire2),
75
                                .rx_outclock (sub_wire3),
76 13 jefflieu
                                .dpa_pll_cal_busy (),
77
                                .dpa_pll_recal (1'b0),
78
                                .pll_phasecounterselect (),
79
                                .pll_phasedone (1'b1),
80
                                .pll_phasestep (),
81
                                .pll_phaseupdown (),
82
                                .pll_scanclk (),
83
                                .rx_cda_max (),
84
                                .rx_cda_reset (1'b0),
85
                                .rx_coreclk (1'b1),
86
                                .rx_data_align (1'b0),
87
                                .rx_data_align_reset (1'b0),
88
                                .rx_data_reset (1'b0),
89
                                .rx_deskew (1'b0),
90
                                .rx_dpa_lock_reset (1'b0),
91
                                .rx_dpa_locked (),
92
                                .rx_dpaclock (1'b0),
93
                                .rx_dpll_enable (1'b1),
94
                                .rx_dpll_hold (1'b0),
95
                                .rx_dpll_reset (1'b0),
96
                                .rx_enable (1'b1),
97
                                .rx_fifo_reset (1'b0),
98
                                .rx_pll_enable (1'b1),
99
                                .rx_readclock (1'b0),
100
                                .rx_reset (1'b0),
101
                                .rx_syncclock (1'b0));
102
        defparam
103
                ALTLVDS_RX_component.buffer_implementation = "RAM",
104
                ALTLVDS_RX_component.cds_mode = "UNUSED",
105 15 jefflieu
                ALTLVDS_RX_component.common_rx_tx_pll = "ON",
106 13 jefflieu
                ALTLVDS_RX_component.data_align_rollover = 10,
107
                ALTLVDS_RX_component.data_rate = "1250.0 Mbps",
108
                ALTLVDS_RX_component.deserialization_factor = 10,
109
                ALTLVDS_RX_component.dpa_initial_phase_value = 0,
110
                ALTLVDS_RX_component.dpll_lock_count = 0,
111
                ALTLVDS_RX_component.dpll_lock_window = 0,
112
                ALTLVDS_RX_component.enable_clock_pin_mode = "UNUSED",
113
                ALTLVDS_RX_component.enable_dpa_align_to_rising_edge_only = "OFF",
114
                ALTLVDS_RX_component.enable_dpa_calibration = "ON",
115
                ALTLVDS_RX_component.enable_dpa_fifo = "UNUSED",
116
                ALTLVDS_RX_component.enable_dpa_initial_phase_selection = "OFF",
117 15 jefflieu
                ALTLVDS_RX_component.enable_dpa_mode = "ON",
118 13 jefflieu
                ALTLVDS_RX_component.enable_dpa_pll_calibration = "OFF",
119 15 jefflieu
                ALTLVDS_RX_component.enable_soft_cdr_mode = "ON",
120 13 jefflieu
                ALTLVDS_RX_component.implement_in_les = "OFF",
121
                ALTLVDS_RX_component.inclock_boost = 0,
122
                ALTLVDS_RX_component.inclock_data_alignment = "EDGE_ALIGNED",
123
                ALTLVDS_RX_component.inclock_period = 8000,
124
                ALTLVDS_RX_component.inclock_phase_shift = 0,
125
                ALTLVDS_RX_component.input_data_rate = 1250,
126
                ALTLVDS_RX_component.intended_device_family = "Arria V",
127
                ALTLVDS_RX_component.lose_lock_on_one_change = "UNUSED",
128
                ALTLVDS_RX_component.lpm_hint = "CBX_MODULE_PREFIX=mAltArriaVlvdsRx",
129
                ALTLVDS_RX_component.lpm_type = "altlvds_rx",
130
                ALTLVDS_RX_component.number_of_channels = 1,
131
                ALTLVDS_RX_component.outclock_resource = "Dual-Regional clock",
132
                ALTLVDS_RX_component.pll_operation_mode = "UNUSED",
133
                ALTLVDS_RX_component.pll_self_reset_on_loss_lock = "UNUSED",
134
                ALTLVDS_RX_component.port_rx_channel_data_align = "PORT_USED",
135
                ALTLVDS_RX_component.port_rx_data_align = "PORT_UNUSED",
136
                ALTLVDS_RX_component.refclk_frequency = "125.000000 MHz",
137
                ALTLVDS_RX_component.registered_data_align_input = "UNUSED",
138
                ALTLVDS_RX_component.registered_output = "ON",
139
                ALTLVDS_RX_component.reset_fifo_at_first_lock = "UNUSED",
140 15 jefflieu
                ALTLVDS_RX_component.rx_align_data_reg = "UNUSED",
141 13 jefflieu
                ALTLVDS_RX_component.sim_dpa_is_negative_ppm_drift = "OFF",
142
                ALTLVDS_RX_component.sim_dpa_net_ppm_variation = 0,
143
                ALTLVDS_RX_component.sim_dpa_output_clock_phase_shift = 0,
144
                ALTLVDS_RX_component.use_coreclock_input = "OFF",
145
                ALTLVDS_RX_component.use_dpll_rawperror = "OFF",
146
                ALTLVDS_RX_component.use_external_pll = "OFF",
147
                ALTLVDS_RX_component.use_no_phase_shift = "ON",
148
                ALTLVDS_RX_component.x_on_bitslip = "ON",
149
                ALTLVDS_RX_component.clk_src_is_pll = "off";
150
 
151
 
152
endmodule
153
 
154
// ============================================================
155
// CNX file retrieval info
156
// ============================================================
157
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
158
// Retrieval info: PRIVATE: Bitslip NUMERIC "10"
159
// Retrieval info: PRIVATE: Clock_Choices STRING "tx_coreclock"
160
// Retrieval info: PRIVATE: Clock_Mode NUMERIC "0"
161
// Retrieval info: PRIVATE: Data_rate STRING "1250.0"
162
// Retrieval info: PRIVATE: Deser_Factor NUMERIC "10"
163
// Retrieval info: PRIVATE: Dpll_Lock_Count NUMERIC "0"
164
// Retrieval info: PRIVATE: Dpll_Lock_Window NUMERIC "0"
165 15 jefflieu
// Retrieval info: PRIVATE: Enable_DPA_Mode STRING "ON"
166 13 jefflieu
// Retrieval info: PRIVATE: Enable_FIFO_DPA_Channels NUMERIC "0"
167
// Retrieval info: PRIVATE: Ext_PLL STRING "OFF"
168
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Arria V"
169
// Retrieval info: PRIVATE: Le_Serdes STRING "OFF"
170
// Retrieval info: PRIVATE: Num_Channel NUMERIC "1"
171
// Retrieval info: PRIVATE: Outclock_Divide_By NUMERIC "0"
172
// Retrieval info: PRIVATE: pCNX_OUTCLK_ALIGN NUMERIC "0"
173
// Retrieval info: PRIVATE: pINCLOCK_PHASE_SHIFT STRING "0.00"
174
// Retrieval info: PRIVATE: PLL_Enable NUMERIC "0"
175
// Retrieval info: PRIVATE: PLL_Freq STRING "125.000000"
176
// Retrieval info: PRIVATE: PLL_Period STRING "8.000"
177
// Retrieval info: PRIVATE: pOUTCLOCK_PHASE_SHIFT NUMERIC "0"
178
// Retrieval info: PRIVATE: Reg_InOut NUMERIC "1"
179
// Retrieval info: PRIVATE: Use_Cda_Reset NUMERIC "0"
180
// Retrieval info: PRIVATE: Use_Clock_Resc STRING "Dual-Regional clock"
181 15 jefflieu
// Retrieval info: PRIVATE: Use_Common_Rx_Tx_Plls NUMERIC "1"
182 13 jefflieu
// Retrieval info: PRIVATE: Use_Data_Align NUMERIC "1"
183
// Retrieval info: PRIVATE: Use_Lock NUMERIC "1"
184 15 jefflieu
// Retrieval info: PRIVATE: Use_Pll_Areset NUMERIC "1"
185 13 jefflieu
// Retrieval info: PRIVATE: Use_Rawperror NUMERIC "0"
186
// Retrieval info: PRIVATE: Use_Tx_Out_Phase NUMERIC "0"
187
// Retrieval info: CONSTANT: BUFFER_IMPLEMENTATION STRING "RAM"
188
// Retrieval info: CONSTANT: CDS_MODE STRING "UNUSED"
189 15 jefflieu
// Retrieval info: CONSTANT: COMMON_RX_TX_PLL STRING "ON"
190 13 jefflieu
// Retrieval info: CONSTANT: clk_src_is_pll STRING "off"
191
// Retrieval info: CONSTANT: DATA_ALIGN_ROLLOVER NUMERIC "10"
192
// Retrieval info: CONSTANT: DATA_RATE STRING "1250.0 Mbps"
193
// Retrieval info: CONSTANT: DESERIALIZATION_FACTOR NUMERIC "10"
194
// Retrieval info: CONSTANT: DPA_INITIAL_PHASE_VALUE NUMERIC "0"
195
// Retrieval info: CONSTANT: DPLL_LOCK_COUNT NUMERIC "0"
196
// Retrieval info: CONSTANT: DPLL_LOCK_WINDOW NUMERIC "0"
197
// Retrieval info: CONSTANT: ENABLE_CLOCK_PIN_MODE STRING "UNUSED"
198
// Retrieval info: CONSTANT: ENABLE_DPA_ALIGN_TO_RISING_EDGE_ONLY STRING "OFF"
199
// Retrieval info: CONSTANT: ENABLE_DPA_CALIBRATION STRING "ON"
200
// Retrieval info: CONSTANT: ENABLE_DPA_FIFO STRING "UNUSED"
201
// Retrieval info: CONSTANT: ENABLE_DPA_INITIAL_PHASE_SELECTION STRING "OFF"
202 15 jefflieu
// Retrieval info: CONSTANT: ENABLE_DPA_MODE STRING "ON"
203 13 jefflieu
// Retrieval info: CONSTANT: ENABLE_DPA_PLL_CALIBRATION STRING "OFF"
204 15 jefflieu
// Retrieval info: CONSTANT: ENABLE_SOFT_CDR_MODE STRING "ON"
205 13 jefflieu
// Retrieval info: CONSTANT: IMPLEMENT_IN_LES STRING "OFF"
206
// Retrieval info: CONSTANT: INCLOCK_BOOST NUMERIC "0"
207
// Retrieval info: CONSTANT: INCLOCK_DATA_ALIGNMENT STRING "EDGE_ALIGNED"
208
// Retrieval info: CONSTANT: INCLOCK_PERIOD NUMERIC "8000"
209
// Retrieval info: CONSTANT: INCLOCK_PHASE_SHIFT NUMERIC "0"
210
// Retrieval info: CONSTANT: INPUT_DATA_RATE NUMERIC "1250"
211
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Arria V"
212
// Retrieval info: CONSTANT: LOSE_LOCK_ON_ONE_CHANGE STRING "UNUSED"
213
// Retrieval info: CONSTANT: LPM_HINT STRING "UNUSED"
214
// Retrieval info: CONSTANT: LPM_TYPE STRING "altlvds_rx"
215
// Retrieval info: CONSTANT: NUMBER_OF_CHANNELS NUMERIC "1"
216
// Retrieval info: CONSTANT: OUTCLOCK_RESOURCE STRING "Dual-Regional clock"
217
// Retrieval info: CONSTANT: PLL_OPERATION_MODE STRING "UNUSED"
218
// Retrieval info: CONSTANT: PLL_SELF_RESET_ON_LOSS_LOCK STRING "UNUSED"
219
// Retrieval info: CONSTANT: PORT_RX_CHANNEL_DATA_ALIGN STRING "PORT_USED"
220
// Retrieval info: CONSTANT: PORT_RX_DATA_ALIGN STRING "PORT_UNUSED"
221
// Retrieval info: CONSTANT: REFCLK_FREQUENCY STRING "125.000000 MHz"
222
// Retrieval info: CONSTANT: REGISTERED_DATA_ALIGN_INPUT STRING "UNUSED"
223
// Retrieval info: CONSTANT: REGISTERED_OUTPUT STRING "ON"
224
// Retrieval info: CONSTANT: RESET_FIFO_AT_FIRST_LOCK STRING "UNUSED"
225 15 jefflieu
// Retrieval info: CONSTANT: RX_ALIGN_DATA_REG STRING "UNUSED"
226 13 jefflieu
// Retrieval info: CONSTANT: SIM_DPA_IS_NEGATIVE_PPM_DRIFT STRING "OFF"
227
// Retrieval info: CONSTANT: SIM_DPA_NET_PPM_VARIATION NUMERIC "0"
228
// Retrieval info: CONSTANT: SIM_DPA_OUTPUT_CLOCK_PHASE_SHIFT NUMERIC "0"
229
// Retrieval info: CONSTANT: USE_CORECLOCK_INPUT STRING "OFF"
230
// Retrieval info: CONSTANT: USE_DPLL_RAWPERROR STRING "OFF"
231
// Retrieval info: CONSTANT: USE_EXTERNAL_PLL STRING "OFF"
232
// Retrieval info: CONSTANT: USE_NO_PHASE_SHIFT STRING "ON"
233
// Retrieval info: CONSTANT: X_ON_BITSLIP STRING "ON"
234 15 jefflieu
// Retrieval info: USED_PORT: pll_areset 0 0 0 0 INPUT NODEFVAL "pll_areset"
235
// Retrieval info: CONNECT: @pll_areset 0 0 0 0 pll_areset 0 0 0 0
236 13 jefflieu
// Retrieval info: USED_PORT: rx_channel_data_align 0 0 1 0 INPUT NODEFVAL "rx_channel_data_align[0..0]"
237
// Retrieval info: CONNECT: @rx_channel_data_align 0 0 1 0 rx_channel_data_align 0 0 1 0
238 15 jefflieu
// Retrieval info: USED_PORT: rx_divfwdclk 0 0 1 0 OUTPUT NODEFVAL "rx_divfwdclk[0..0]"
239
// Retrieval info: CONNECT: rx_divfwdclk 0 0 1 0 @rx_divfwdclk 0 0 1 0
240 13 jefflieu
// Retrieval info: USED_PORT: rx_in 0 0 1 0 INPUT NODEFVAL "rx_in[0..0]"
241
// Retrieval info: CONNECT: @rx_in 0 0 1 0 rx_in 0 0 1 0
242
// Retrieval info: USED_PORT: rx_inclock 0 0 0 0 INPUT NODEFVAL "rx_inclock"
243
// Retrieval info: CONNECT: @rx_inclock 0 0 0 0 rx_inclock 0 0 0 0
244
// Retrieval info: USED_PORT: rx_locked 0 0 0 0 OUTPUT NODEFVAL "rx_locked"
245
// Retrieval info: CONNECT: rx_locked 0 0 0 0 @rx_locked 0 0 0 0
246
// Retrieval info: USED_PORT: rx_out 0 0 10 0 OUTPUT NODEFVAL "rx_out[9..0]"
247
// Retrieval info: CONNECT: rx_out 0 0 10 0 @rx_out 0 0 10 0
248
// Retrieval info: USED_PORT: rx_outclock 0 0 0 0 OUTPUT NODEFVAL "rx_outclock"
249
// Retrieval info: CONNECT: rx_outclock 0 0 0 0 @rx_outclock 0 0 0 0
250
// Retrieval info: GEN_FILE: TYPE_NORMAL mAltArriaVlvdsRx.v TRUE FALSE
251
// Retrieval info: GEN_FILE: TYPE_NORMAL mAltArriaVlvdsRx.qip TRUE FALSE
252
// Retrieval info: GEN_FILE: TYPE_NORMAL mAltArriaVlvdsRx.bsf FALSE TRUE
253
// Retrieval info: GEN_FILE: TYPE_NORMAL mAltArriaVlvdsRx_inst.v FALSE TRUE
254
// Retrieval info: GEN_FILE: TYPE_NORMAL mAltArriaVlvdsRx_bb.v FALSE TRUE
255
// Retrieval info: GEN_FILE: TYPE_NORMAL mAltArriaVlvdsRx.inc FALSE TRUE
256
// Retrieval info: GEN_FILE: TYPE_NORMAL mAltArriaVlvdsRx.cmp FALSE TRUE
257
// Retrieval info: GEN_FILE: TYPE_NORMAL mAltArriaVlvdsRx.ppf TRUE FALSE
258
// Retrieval info: LIB_FILE: altera_mf
259
// Retrieval info: CBX_MODULE_PREFIX: ON

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.