1 |
2 |
jefflieu |
// megafunction wizard: %ALTGX%
|
2 |
|
|
// GENERATION: STANDARD
|
3 |
|
|
// VERSION: WM1.0
|
4 |
|
|
// MODULE: alt_c3gxb
|
5 |
|
|
|
6 |
|
|
// ============================================================
|
7 |
|
|
// File Name: mAltGX.v
|
8 |
|
|
// Megafunction Name(s):
|
9 |
|
|
// alt_c3gxb
|
10 |
|
|
//
|
11 |
|
|
// Simulation Library Files(s):
|
12 |
|
|
// altera_mf;cycloneiv_hssi
|
13 |
|
|
// ============================================================
|
14 |
|
|
// ************************************************************
|
15 |
|
|
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
|
16 |
|
|
//
|
17 |
12 |
jefflieu |
// 11.1 Build 259 01/25/2012 SP 2 SJ Web Edition
|
18 |
2 |
jefflieu |
// ************************************************************
|
19 |
|
|
|
20 |
|
|
|
21 |
|
|
//Copyright (C) 1991-2011 Altera Corporation
|
22 |
|
|
//Your use of Altera Corporation's design tools, logic functions
|
23 |
|
|
//and other software and tools, and its AMPP partner logic
|
24 |
|
|
//functions, and any output files from any of the foregoing
|
25 |
|
|
//(including device programming or simulation files), and any
|
26 |
|
|
//associated documentation or information are expressly subject
|
27 |
|
|
//to the terms and conditions of the Altera Program License
|
28 |
|
|
//Subscription Agreement, Altera MegaCore Function License
|
29 |
|
|
//Agreement, or other applicable license agreement, including,
|
30 |
|
|
//without limitation, that your use is for the sole purpose of
|
31 |
|
|
//programming logic devices manufactured by Altera and sold by
|
32 |
|
|
//Altera or its authorized distributors. Please refer to the
|
33 |
|
|
//applicable agreement for further details.
|
34 |
|
|
|
35 |
|
|
|
36 |
12 |
jefflieu |
//alt_c3gxb CBX_AUTO_BLACKBOX="ALL" device_family="Cyclone IV GX" effective_data_rate="1250.0 Mbps" equalization_setting=5 equalizer_dcgain_setting=0 gxb_powerdown_width=1 loopback_mode="none" number_of_channels=1 number_of_quads=1 operation_mode="duplex" pll_bandwidth_type="auto" pll_control_width=1 pll_divide_by="1" pll_inclk_period=8000 pll_multiply_by="5" pll_pfd_fb_mode="internal" preemphasis_ctrl_1stposttap_setting=0 protocol="gige" receiver_termination="OCT_100_OHMS" reconfig_calibration="true" reconfig_dprio_mode=0 reconfig_pll_control_width=1 rx_8b_10b_mode="normal" rx_align_pattern="0101111100" rx_align_pattern_length=10 rx_allow_align_polarity_inversion="false" rx_allow_pipe_polarity_inversion="false" rx_bitslip_enable="false" rx_byte_ordering_mode="none" rx_channel_width=8 rx_common_mode="0.82v" rx_datapath_protocol="basic" rx_deskew_pattern="0" rx_digitalreset_port_width=1 rx_dwidth_factor=1 rx_enable_bit_reversal="false" rx_enable_lock_to_data_sig="false" rx_enable_lock_to_refclk_sig="false" rx_enable_second_order_loop="false" rx_enable_self_test_mode="false" rx_force_signal_detect="true" rx_loop_1_digital_filter=8 rx_ppmselect=8 rx_rate_match_fifo_mode="normal" rx_rate_match_pattern1="10100010010101111100" rx_rate_match_pattern2="10101011011010000011" rx_rate_match_pattern_size=20 rx_run_length=40 rx_run_length_enable="true" rx_signal_detect_loss_threshold=1 rx_signal_detect_threshold=8 rx_signal_detect_valid_threshold=14 rx_use_align_state_machine="true" rx_use_clkout="false" rx_use_coreclk="false" rx_use_deskew_fifo="false" rx_use_double_data_mode="false" rx_use_external_termination="false" rx_word_aligner_num_byte=1 starting_channel_number=0 top_module_name="mAltGX" transmitter_termination="OCT_100_OHMS" tx_8b_10b_mode="normal" tx_allow_polarity_inversion="false" tx_bitslip_enable="false" tx_channel_width=8 tx_clkout_width=1 tx_common_mode="0.65v" tx_digitalreset_port_width=1 tx_dwidth_factor=1 tx_enable_bit_reversal="false" tx_enable_self_test_mode="false" tx_slew_rate="medium" tx_transmit_protocol="basic" tx_use_coreclk="false" tx_use_double_data_mode="false" tx_use_external_termination="false" use_calibration_block="true" vod_ctrl_setting=4 cal_blk_clk gxb_powerdown pll_inclk pll_locked reconfig_clk reconfig_fromgxb reconfig_togxb rx_analogreset rx_ctrldetect rx_datain rx_dataout rx_digitalreset rx_disperr rx_errdetect rx_patterndetect rx_rlv rx_syncstatus tx_clkout tx_ctrlenable tx_datain tx_dataout tx_digitalreset intended_device_family="Cyclone IV GX"
|
37 |
|
|
//VERSION_BEGIN 11.1SP2 cbx_alt_c3gxb 2012:01:25:21:13:53:SJ cbx_altclkbuf 2012:01:25:21:13:53:SJ cbx_altiobuf_bidir 2012:01:25:21:13:53:SJ cbx_altiobuf_in 2012:01:25:21:13:53:SJ cbx_altiobuf_out 2012:01:25:21:13:53:SJ cbx_altpll 2012:01:25:21:13:53:SJ cbx_cycloneii 2012:01:25:21:13:53:SJ cbx_lpm_add_sub 2012:01:25:21:13:53:SJ cbx_lpm_compare 2012:01:25:21:13:53:SJ cbx_lpm_decode 2012:01:25:21:13:53:SJ cbx_lpm_mux 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ cbx_stingray 2012:01:25:21:13:52:SJ cbx_stratix 2012:01:25:21:13:53:SJ cbx_stratixii 2012:01:25:21:13:53:SJ cbx_stratixiii 2012:01:25:21:13:53:SJ cbx_stratixv 2012:01:25:21:13:53:SJ cbx_util_mgl 2012:01:25:21:13:53:SJ VERSION_END
|
38 |
2 |
jefflieu |
// synthesis VERILOG_INPUT_VERSION VERILOG_2001
|
39 |
|
|
// altera message_off 10463
|
40 |
|
|
|
41 |
|
|
|
42 |
|
|
//synthesis_resources = altpll 1 cycloneiv_hssi_calibration_block 1 cycloneiv_hssi_cmu 1 cycloneiv_hssi_rx_pcs 1 cycloneiv_hssi_rx_pma 1 cycloneiv_hssi_tx_pcs 1 cycloneiv_hssi_tx_pma 1
|
43 |
|
|
//synopsys translate_off
|
44 |
|
|
`timescale 1 ps / 1 ps
|
45 |
|
|
//synopsys translate_on
|
46 |
|
|
module mAltGX_alt_c3gxb
|
47 |
|
|
(
|
48 |
|
|
cal_blk_clk,
|
49 |
|
|
gxb_powerdown,
|
50 |
|
|
pll_inclk,
|
51 |
|
|
pll_locked,
|
52 |
|
|
reconfig_clk,
|
53 |
|
|
reconfig_fromgxb,
|
54 |
|
|
reconfig_togxb,
|
55 |
|
|
rx_analogreset,
|
56 |
|
|
rx_ctrldetect,
|
57 |
|
|
rx_datain,
|
58 |
|
|
rx_dataout,
|
59 |
|
|
rx_digitalreset,
|
60 |
|
|
rx_disperr,
|
61 |
|
|
rx_errdetect,
|
62 |
|
|
rx_patterndetect,
|
63 |
|
|
rx_rlv,
|
64 |
|
|
rx_syncstatus,
|
65 |
|
|
tx_clkout,
|
66 |
|
|
tx_ctrlenable,
|
67 |
|
|
tx_datain,
|
68 |
|
|
tx_dataout,
|
69 |
|
|
tx_digitalreset) ;
|
70 |
|
|
input cal_blk_clk;
|
71 |
|
|
input [0:0] gxb_powerdown;
|
72 |
|
|
input [0:0] pll_inclk;
|
73 |
|
|
output [0:0] pll_locked;
|
74 |
|
|
input reconfig_clk;
|
75 |
|
|
output [4:0] reconfig_fromgxb;
|
76 |
|
|
input [3:0] reconfig_togxb;
|
77 |
|
|
input [0:0] rx_analogreset;
|
78 |
|
|
output [0:0] rx_ctrldetect;
|
79 |
|
|
input [0:0] rx_datain;
|
80 |
|
|
output [7:0] rx_dataout;
|
81 |
|
|
input [0:0] rx_digitalreset;
|
82 |
|
|
output [0:0] rx_disperr;
|
83 |
|
|
output [0:0] rx_errdetect;
|
84 |
|
|
output [0:0] rx_patterndetect;
|
85 |
|
|
output [0:0] rx_rlv;
|
86 |
|
|
output [0:0] rx_syncstatus;
|
87 |
|
|
output [0:0] tx_clkout;
|
88 |
|
|
input [0:0] tx_ctrlenable;
|
89 |
|
|
input [7:0] tx_datain;
|
90 |
|
|
output [0:0] tx_dataout;
|
91 |
|
|
input [0:0] tx_digitalreset;
|
92 |
|
|
`ifndef ALTERA_RESERVED_QIS
|
93 |
|
|
// synopsys translate_off
|
94 |
|
|
`endif
|
95 |
|
|
tri0 cal_blk_clk;
|
96 |
|
|
tri0 [0:0] gxb_powerdown;
|
97 |
|
|
tri0 reconfig_clk;
|
98 |
|
|
tri0 [0:0] rx_analogreset;
|
99 |
|
|
tri0 [0:0] rx_digitalreset;
|
100 |
|
|
tri0 [0:0] tx_ctrlenable;
|
101 |
|
|
tri0 [7:0] tx_datain;
|
102 |
|
|
tri0 [0:0] tx_digitalreset;
|
103 |
|
|
`ifndef ALTERA_RESERVED_QIS
|
104 |
|
|
// synopsys translate_on
|
105 |
|
|
`endif
|
106 |
|
|
|
107 |
|
|
|
108 |
|
|
parameter starting_channel_number = 0;
|
109 |
|
|
|
110 |
|
|
|
111 |
|
|
wire [5:0] wire_pll0_clk;
|
112 |
|
|
wire wire_pll0_fref;
|
113 |
|
|
wire wire_pll0_icdrclk;
|
114 |
|
|
wire wire_pll0_locked;
|
115 |
|
|
wire wire_cal_blk0_nonusertocmu;
|
116 |
|
|
wire wire_cent_unit0_dpriodisableout;
|
117 |
|
|
wire wire_cent_unit0_dprioout;
|
118 |
|
|
wire wire_cent_unit0_quadresetout;
|
119 |
|
|
wire [3:0] wire_cent_unit0_rxanalogresetout;
|
120 |
|
|
wire [3:0] wire_cent_unit0_rxcrupowerdown;
|
121 |
|
|
wire [3:0] wire_cent_unit0_rxdigitalresetout;
|
122 |
|
|
wire [3:0] wire_cent_unit0_rxibpowerdown;
|
123 |
|
|
wire [1599:0] wire_cent_unit0_rxpcsdprioout;
|
124 |
|
|
wire [1199:0] wire_cent_unit0_rxpmadprioout;
|
125 |
|
|
wire [3:0] wire_cent_unit0_txanalogresetout;
|
126 |
|
|
wire [3:0] wire_cent_unit0_txdetectrxpowerdown;
|
127 |
|
|
wire [3:0] wire_cent_unit0_txdigitalresetout;
|
128 |
|
|
wire [3:0] wire_cent_unit0_txdividerpowerdown;
|
129 |
|
|
wire [3:0] wire_cent_unit0_txobpowerdown;
|
130 |
|
|
wire [599:0] wire_cent_unit0_txpcsdprioout;
|
131 |
|
|
wire [1199:0] wire_cent_unit0_txpmadprioout;
|
132 |
|
|
wire wire_receive_pcs0_cdrctrllocktorefclkout;
|
133 |
|
|
wire [1:0] wire_receive_pcs0_ctrldetect;
|
134 |
|
|
wire [19:0] wire_receive_pcs0_dataout;
|
135 |
|
|
wire [1:0] wire_receive_pcs0_disperr;
|
136 |
|
|
wire [399:0] wire_receive_pcs0_dprioout;
|
137 |
|
|
wire [1:0] wire_receive_pcs0_errdetect;
|
138 |
|
|
wire [1:0] wire_receive_pcs0_patterndetect;
|
139 |
|
|
wire wire_receive_pcs0_rlv;
|
140 |
|
|
wire [1:0] wire_receive_pcs0_syncstatus;
|
141 |
|
|
wire [7:0] wire_receive_pma0_analogtestbus;
|
142 |
|
|
wire wire_receive_pma0_clockout;
|
143 |
|
|
wire wire_receive_pma0_diagnosticlpbkout;
|
144 |
|
|
wire [299:0] wire_receive_pma0_dprioout;
|
145 |
|
|
wire wire_receive_pma0_locktorefout;
|
146 |
|
|
wire [9:0] wire_receive_pma0_recoverdataout;
|
147 |
|
|
wire wire_receive_pma0_reverselpbkout;
|
148 |
|
|
wire wire_receive_pma0_signaldetect;
|
149 |
|
|
wire wire_transmit_pcs0_clkout;
|
150 |
|
|
wire [9:0] wire_transmit_pcs0_dataout;
|
151 |
|
|
wire [149:0] wire_transmit_pcs0_dprioout;
|
152 |
|
|
wire wire_transmit_pcs0_txdetectrx;
|
153 |
|
|
wire wire_transmit_pma0_clockout;
|
154 |
|
|
wire wire_transmit_pma0_dataout;
|
155 |
|
|
wire [299:0] wire_transmit_pma0_dprioout;
|
156 |
|
|
wire wire_transmit_pma0_seriallpbkout;
|
157 |
|
|
wire cal_blk_powerdown;
|
158 |
|
|
wire [0:0] cent_unit_quadresetout;
|
159 |
|
|
wire [3:0] cent_unit_rxcrupowerdn;
|
160 |
|
|
wire [3:0] cent_unit_rxibpowerdn;
|
161 |
|
|
wire [1599:0] cent_unit_rxpcsdprioin;
|
162 |
|
|
wire [1599:0] cent_unit_rxpcsdprioout;
|
163 |
|
|
wire [1199:0] cent_unit_rxpmadprioin;
|
164 |
|
|
wire [1199:0] cent_unit_rxpmadprioout;
|
165 |
|
|
wire [599:0] cent_unit_tx_dprioin;
|
166 |
|
|
wire [3:0] cent_unit_txdetectrxpowerdn;
|
167 |
|
|
wire [3:0] cent_unit_txdividerpowerdown;
|
168 |
|
|
wire [599:0] cent_unit_txdprioout;
|
169 |
|
|
wire [3:0] cent_unit_txobpowerdn;
|
170 |
|
|
wire [1199:0] cent_unit_txpmadprioin;
|
171 |
|
|
wire [1199:0] cent_unit_txpmadprioout;
|
172 |
|
|
wire [3:0] fixedclk_to_cmu;
|
173 |
|
|
wire [0:0] nonusertocmu_out;
|
174 |
|
|
wire [0:0] pll_areset;
|
175 |
|
|
wire [0:0] pll_powerdown;
|
176 |
|
|
wire [0:0] reconfig_togxb_busy;
|
177 |
|
|
wire [0:0] reconfig_togxb_disable;
|
178 |
|
|
wire [0:0] reconfig_togxb_in;
|
179 |
|
|
wire [0:0] reconfig_togxb_load;
|
180 |
|
|
wire [3:0] rx_analogreset_in;
|
181 |
|
|
wire [3:0] rx_analogreset_out;
|
182 |
|
|
wire [0:0] rx_coreclk_in;
|
183 |
|
|
wire [0:0] rx_deserclock_in;
|
184 |
|
|
wire [3:0] rx_digitalreset_in;
|
185 |
|
|
wire [3:0] rx_digitalreset_out;
|
186 |
|
|
wire [0:0] rx_enapatternalign;
|
187 |
|
|
wire [0:0] rx_locktodata;
|
188 |
|
|
wire [0:0] rx_locktorefclk;
|
189 |
|
|
wire [0:0] rx_locktorefclk_wire;
|
190 |
|
|
wire [7:0] rx_out_wire;
|
191 |
|
|
wire [1599:0] rx_pcsdprioin_wire;
|
192 |
|
|
wire [1599:0] rx_pcsdprioout;
|
193 |
|
|
wire [0:0] rx_phfifordenable;
|
194 |
|
|
wire [0:0] rx_phfiforeset;
|
195 |
|
|
wire [0:0] rx_phfifowrdisable;
|
196 |
|
|
wire [0:0] rx_pll_pfdrefclkout_wire;
|
197 |
|
|
wire [4:0] rx_pma_analogtestbus;
|
198 |
|
|
wire [0:0] rx_pma_clockout;
|
199 |
|
|
wire [9:0] rx_pma_recoverdataout_wire;
|
200 |
|
|
wire [1199:0] rx_pmadprioin_wire;
|
201 |
|
|
wire [1199:0] rx_pmadprioout;
|
202 |
|
|
wire [0:0] rx_powerdown;
|
203 |
|
|
wire [3:0] rx_powerdown_in;
|
204 |
|
|
wire [0:0] rx_prbscidenable;
|
205 |
|
|
wire [0:0] rx_reverselpbkout;
|
206 |
|
|
wire [0:0] rx_rmfiforeset;
|
207 |
|
|
wire [0:0] rx_signaldetect_wire;
|
208 |
|
|
wire [3:0] tx_analogreset_out;
|
209 |
|
|
wire [0:0] tx_clkout_int_wire;
|
210 |
|
|
wire [0:0] tx_core_clkout_wire;
|
211 |
|
|
wire [0:0] tx_coreclk_in;
|
212 |
|
|
wire [7:0] tx_datain_wire;
|
213 |
|
|
wire [9:0] tx_dataout_pcs_to_pma;
|
214 |
|
|
wire [0:0] tx_diagnosticlpbkin;
|
215 |
|
|
wire [3:0] tx_digitalreset_in;
|
216 |
|
|
wire [3:0] tx_digitalreset_out;
|
217 |
|
|
wire [599:0] tx_dprioin_wire;
|
218 |
|
|
wire [0:0] tx_forcedisp_wire;
|
219 |
|
|
wire [0:0] tx_invpolarity;
|
220 |
|
|
wire [0:0] tx_localrefclk;
|
221 |
|
|
wire [0:0] tx_phfiforeset;
|
222 |
|
|
wire [0:0] tx_pma_fastrefclk0in;
|
223 |
|
|
wire [0:0] tx_pma_refclk0in;
|
224 |
|
|
wire [0:0] tx_pma_refclk0inpulse;
|
225 |
|
|
wire [1199:0] tx_pmadprioin_wire;
|
226 |
|
|
wire [1199:0] tx_pmadprioout;
|
227 |
|
|
wire [0:0] tx_serialloopbackout;
|
228 |
|
|
wire [599:0] tx_txdprioout;
|
229 |
|
|
wire [0:0] txdataout;
|
230 |
|
|
wire [0:0] txdetectrxout;
|
231 |
|
|
wire [0:0] w_cent_unit_dpriodisableout1w;
|
232 |
|
|
|
233 |
|
|
altpll pll0
|
234 |
|
|
(
|
235 |
|
|
.activeclock(),
|
236 |
|
|
.areset((pll_areset[0] | pll_powerdown[0])),
|
237 |
|
|
.clk(wire_pll0_clk),
|
238 |
|
|
.clkbad(),
|
239 |
|
|
.clkloss(),
|
240 |
|
|
.enable0(),
|
241 |
|
|
.enable1(),
|
242 |
|
|
.extclk(),
|
243 |
|
|
.fbout(),
|
244 |
|
|
.fref(wire_pll0_fref),
|
245 |
|
|
.icdrclk(wire_pll0_icdrclk),
|
246 |
|
|
.inclk({{1{1'b0}}, pll_inclk[0]}),
|
247 |
|
|
.locked(wire_pll0_locked),
|
248 |
|
|
.phasedone(),
|
249 |
|
|
.scandataout(),
|
250 |
|
|
.scandone(),
|
251 |
|
|
.sclkout0(),
|
252 |
|
|
.sclkout1(),
|
253 |
|
|
.vcooverrange(),
|
254 |
|
|
.vcounderrange()
|
255 |
|
|
`ifndef FORMAL_VERIFICATION
|
256 |
|
|
// synopsys translate_off
|
257 |
|
|
`endif
|
258 |
|
|
,
|
259 |
|
|
.clkena({6{1'b1}}),
|
260 |
|
|
.clkswitch(1'b0),
|
261 |
|
|
.configupdate(1'b0),
|
262 |
|
|
.extclkena({4{1'b1}}),
|
263 |
|
|
.fbin(1'b1),
|
264 |
|
|
.pfdena(1'b1),
|
265 |
|
|
.phasecounterselect({4{1'b1}}),
|
266 |
|
|
.phasestep(1'b1),
|
267 |
|
|
.phaseupdown(1'b1),
|
268 |
|
|
.pllena(1'b1),
|
269 |
|
|
.scanaclr(1'b0),
|
270 |
|
|
.scanclk(1'b0),
|
271 |
|
|
.scanclkena(1'b1),
|
272 |
|
|
.scandata(1'b0),
|
273 |
|
|
.scanread(1'b0),
|
274 |
|
|
.scanwrite(1'b0)
|
275 |
|
|
`ifndef FORMAL_VERIFICATION
|
276 |
|
|
// synopsys translate_on
|
277 |
|
|
`endif
|
278 |
|
|
);
|
279 |
|
|
defparam
|
280 |
|
|
pll0.bandwidth_type = "AUTO",
|
281 |
|
|
pll0.clk0_divide_by = 1,
|
282 |
|
|
pll0.clk0_multiply_by = 5,
|
283 |
|
|
pll0.clk1_divide_by = 5,
|
284 |
|
|
pll0.clk1_multiply_by = 5,
|
285 |
|
|
pll0.clk2_divide_by = 5,
|
286 |
|
|
pll0.clk2_duty_cycle = 20,
|
287 |
|
|
pll0.clk2_multiply_by = 5,
|
288 |
|
|
pll0.dpa_divide_by = 1,
|
289 |
|
|
pll0.dpa_multiply_by = 5,
|
290 |
|
|
pll0.inclk0_input_frequency = 8000,
|
291 |
|
|
pll0.operation_mode = "no_compensation",
|
292 |
|
|
pll0.intended_device_family = "Cyclone IV GX",
|
293 |
|
|
pll0.lpm_type = "altpll";
|
294 |
|
|
cycloneiv_hssi_calibration_block cal_blk0
|
295 |
|
|
(
|
296 |
|
|
.calibrationstatus(),
|
297 |
|
|
.clk(cal_blk_clk),
|
298 |
|
|
.nonusertocmu(wire_cal_blk0_nonusertocmu),
|
299 |
|
|
.powerdn(cal_blk_powerdown)
|
300 |
|
|
`ifndef FORMAL_VERIFICATION
|
301 |
|
|
// synopsys translate_off
|
302 |
|
|
`endif
|
303 |
|
|
,
|
304 |
|
|
.testctrl(1'b0)
|
305 |
|
|
`ifndef FORMAL_VERIFICATION
|
306 |
|
|
// synopsys translate_on
|
307 |
|
|
`endif
|
308 |
|
|
);
|
309 |
|
|
cycloneiv_hssi_cmu cent_unit0
|
310 |
|
|
(
|
311 |
|
|
.adet({4{1'b0}}),
|
312 |
|
|
.alignstatus(),
|
313 |
|
|
.coreclkout(),
|
314 |
|
|
.digitaltestout(),
|
315 |
|
|
.dpclk(reconfig_clk),
|
316 |
|
|
.dpriodisable(reconfig_togxb_disable),
|
317 |
|
|
.dpriodisableout(wire_cent_unit0_dpriodisableout),
|
318 |
|
|
.dprioin(reconfig_togxb_in),
|
319 |
|
|
.dprioload(reconfig_togxb_load),
|
320 |
|
|
.dpriooe(),
|
321 |
|
|
.dprioout(wire_cent_unit0_dprioout),
|
322 |
|
|
.enabledeskew(),
|
323 |
|
|
.fiforesetrd(),
|
324 |
|
|
.fixedclk({{3{1'b0}}, fixedclk_to_cmu[0]}),
|
325 |
|
|
.nonuserfromcal(nonusertocmu_out[0]),
|
326 |
|
|
.quadreset(gxb_powerdown[0]),
|
327 |
|
|
.quadresetout(wire_cent_unit0_quadresetout),
|
328 |
|
|
.rdalign({4{1'b0}}),
|
329 |
|
|
.rdenablesync(1'b0),
|
330 |
|
|
.recovclk(1'b0),
|
331 |
|
|
.refclkout(),
|
332 |
|
|
.rxanalogreset({rx_analogreset_in[3:0]}),
|
333 |
|
|
.rxanalogresetout(wire_cent_unit0_rxanalogresetout),
|
334 |
|
|
.rxcrupowerdown(wire_cent_unit0_rxcrupowerdown),
|
335 |
|
|
.rxctrl({4{1'b0}}),
|
336 |
|
|
.rxctrlout(),
|
337 |
|
|
.rxdatain({32{1'b0}}),
|
338 |
|
|
.rxdataout(),
|
339 |
|
|
.rxdatavalid({4{1'b0}}),
|
340 |
|
|
.rxdigitalreset({rx_digitalreset_in[3:0]}),
|
341 |
|
|
.rxdigitalresetout(wire_cent_unit0_rxdigitalresetout),
|
342 |
|
|
.rxibpowerdown(wire_cent_unit0_rxibpowerdown),
|
343 |
|
|
.rxpcsdprioin({cent_unit_rxpcsdprioin[1599:0]}),
|
344 |
|
|
.rxpcsdprioout(wire_cent_unit0_rxpcsdprioout),
|
345 |
|
|
.rxphfifox4byteselout(),
|
346 |
|
|
.rxphfifox4rdenableout(),
|
347 |
|
|
.rxphfifox4wrclkout(),
|
348 |
|
|
.rxphfifox4wrenableout(),
|
349 |
|
|
.rxpmadprioin({cent_unit_rxpmadprioin[1199:0]}),
|
350 |
|
|
.rxpmadprioout(wire_cent_unit0_rxpmadprioout),
|
351 |
|
|
.rxpowerdown({rx_powerdown_in[3:0]}),
|
352 |
|
|
.rxrunningdisp({4{1'b0}}),
|
353 |
|
|
.syncstatus({4{1'b0}}),
|
354 |
|
|
.testout(),
|
355 |
|
|
.txanalogresetout(wire_cent_unit0_txanalogresetout),
|
356 |
|
|
.txctrl({4{1'b0}}),
|
357 |
|
|
.txctrlout(),
|
358 |
|
|
.txdatain({32{1'b0}}),
|
359 |
|
|
.txdataout(),
|
360 |
|
|
.txdetectrxpowerdown(wire_cent_unit0_txdetectrxpowerdown),
|
361 |
|
|
.txdigitalreset({tx_digitalreset_in[3:0]}),
|
362 |
|
|
.txdigitalresetout(wire_cent_unit0_txdigitalresetout),
|
363 |
|
|
.txdividerpowerdown(wire_cent_unit0_txdividerpowerdown),
|
364 |
|
|
.txobpowerdown(wire_cent_unit0_txobpowerdown),
|
365 |
|
|
.txpcsdprioin({cent_unit_tx_dprioin[599:0]}),
|
366 |
|
|
.txpcsdprioout(wire_cent_unit0_txpcsdprioout),
|
367 |
|
|
.txphfifox4byteselout(),
|
368 |
|
|
.txphfifox4rdclkout(),
|
369 |
|
|
.txphfifox4rdenableout(),
|
370 |
|
|
.txphfifox4wrenableout(),
|
371 |
|
|
.txpmadprioin({cent_unit_txpmadprioin[1199:0]}),
|
372 |
|
|
.txpmadprioout(wire_cent_unit0_txpmadprioout)
|
373 |
|
|
`ifndef FORMAL_VERIFICATION
|
374 |
|
|
// synopsys translate_off
|
375 |
|
|
`endif
|
376 |
|
|
,
|
377 |
|
|
.pmacramtest(1'b0),
|
378 |
|
|
.refclkdig(1'b0),
|
379 |
|
|
.rxcoreclk(1'b0),
|
380 |
|
|
.rxphfifordenable(1'b1),
|
381 |
|
|
.rxphfiforeset(1'b0),
|
382 |
|
|
.rxphfifowrdisable(1'b0),
|
383 |
|
|
.scanclk(1'b0),
|
384 |
|
|
.scanmode(1'b0),
|
385 |
|
|
.scanshift(1'b0),
|
386 |
|
|
.testin({2000{1'b0}}),
|
387 |
|
|
.txclk(1'b0),
|
388 |
|
|
.txcoreclk(1'b0),
|
389 |
|
|
.txphfiforddisable(1'b0),
|
390 |
|
|
.txphfiforeset(1'b0),
|
391 |
|
|
.txphfifowrenable(1'b0)
|
392 |
|
|
`ifndef FORMAL_VERIFICATION
|
393 |
|
|
// synopsys translate_on
|
394 |
|
|
`endif
|
395 |
|
|
);
|
396 |
|
|
defparam
|
397 |
|
|
cent_unit0.auto_spd_deassert_ph_fifo_rst_count = 8,
|
398 |
|
|
cent_unit0.auto_spd_phystatus_notify_count = 0,
|
399 |
|
|
cent_unit0.devaddr = ((((starting_channel_number / 4) + 0) % 32) + 1),
|
400 |
|
|
cent_unit0.dprio_config_mode = 6'h01,
|
401 |
|
|
cent_unit0.in_xaui_mode = "false",
|
402 |
|
|
cent_unit0.portaddr = (((starting_channel_number + 0) / 128) + 1),
|
403 |
|
|
cent_unit0.rx0_channel_bonding = "none",
|
404 |
|
|
cent_unit0.rx0_clk1_mux_select = "recovered clock",
|
405 |
|
|
cent_unit0.rx0_clk2_mux_select = "local reference clock",
|
406 |
|
|
cent_unit0.rx0_ph_fifo_reg_mode = "false",
|
407 |
|
|
cent_unit0.rx0_rd_clk_mux_select = "core clock",
|
408 |
|
|
cent_unit0.rx0_recovered_clk_mux_select = "recovered clock",
|
409 |
|
|
cent_unit0.rx0_reset_clock_output_during_digital_reset = "false",
|
410 |
|
|
cent_unit0.rx0_use_double_data_mode = "false",
|
411 |
|
|
cent_unit0.tx0_channel_bonding = "none",
|
412 |
|
|
cent_unit0.tx0_rd_clk_mux_select = "central",
|
413 |
|
|
cent_unit0.tx0_reset_clock_output_during_digital_reset = "false",
|
414 |
|
|
cent_unit0.tx0_use_double_data_mode = "false",
|
415 |
|
|
cent_unit0.tx0_wr_clk_mux_select = "core_clk",
|
416 |
|
|
cent_unit0.use_coreclk_out_post_divider = "false",
|
417 |
|
|
cent_unit0.use_deskew_fifo = "false",
|
418 |
|
|
cent_unit0.lpm_type = "cycloneiv_hssi_cmu";
|
419 |
|
|
cycloneiv_hssi_rx_pcs receive_pcs0
|
420 |
|
|
(
|
421 |
|
|
.a1a2size(1'b0),
|
422 |
|
|
.a1a2sizeout(),
|
423 |
|
|
.a1detect(),
|
424 |
|
|
.a2detect(),
|
425 |
|
|
.adetectdeskew(),
|
426 |
|
|
.alignstatus(1'b0),
|
427 |
|
|
.alignstatussync(1'b0),
|
428 |
|
|
.alignstatussyncout(),
|
429 |
|
|
.bistdone(),
|
430 |
|
|
.bisterr(),
|
431 |
|
|
.bitslipboundaryselectout(),
|
432 |
|
|
.byteorderalignstatus(),
|
433 |
|
|
.cdrctrlearlyeios(),
|
434 |
|
|
.cdrctrllocktorefcl((reconfig_togxb_busy | rx_locktorefclk[0])),
|
435 |
|
|
.cdrctrllocktorefclkout(wire_receive_pcs0_cdrctrllocktorefclkout),
|
436 |
|
|
.clkout(),
|
437 |
|
|
.coreclk(rx_coreclk_in[0]),
|
438 |
|
|
.coreclkout(),
|
439 |
|
|
.ctrldetect(wire_receive_pcs0_ctrldetect),
|
440 |
|
|
.datain(rx_pma_recoverdataout_wire[9:0]),
|
441 |
|
|
.dataout(wire_receive_pcs0_dataout),
|
442 |
|
|
.dataoutfull(),
|
443 |
|
|
.digitalreset(rx_digitalreset_out[0]),
|
444 |
|
|
.disperr(wire_receive_pcs0_disperr),
|
445 |
|
|
.dpriodisable(w_cent_unit_dpriodisableout1w[0]),
|
446 |
|
|
.dprioin(rx_pcsdprioin_wire[399:0]),
|
447 |
|
|
.dprioout(wire_receive_pcs0_dprioout),
|
448 |
|
|
.enabledeskew(1'b0),
|
449 |
|
|
.enabyteord(1'b0),
|
450 |
|
|
.enapatternalign(rx_enapatternalign[0]),
|
451 |
|
|
.errdetect(wire_receive_pcs0_errdetect),
|
452 |
|
|
.fifordin(1'b0),
|
453 |
|
|
.fifordout(),
|
454 |
|
|
.fiforesetrd(1'b0),
|
455 |
|
|
.hipdataout(),
|
456 |
|
|
.hipdatavalid(),
|
457 |
|
|
.hipelecidle(),
|
458 |
|
|
.hipphydonestatus(),
|
459 |
|
|
.hipstatus(),
|
460 |
|
|
.invpol(1'b0),
|
461 |
|
|
.k1detect(),
|
462 |
|
|
.k2detect(),
|
463 |
|
|
.localrefclk(tx_localrefclk[0]),
|
464 |
|
|
.masterclk(1'b0),
|
465 |
|
|
.parallelfdbk({20{1'b0}}),
|
466 |
|
|
.patterndetect(wire_receive_pcs0_patterndetect),
|
467 |
|
|
.phfifooverflow(),
|
468 |
|
|
.phfifordenable(rx_phfifordenable[0]),
|
469 |
|
|
.phfifordenableout(),
|
470 |
|
|
.phfiforeset(rx_phfiforeset[0]),
|
471 |
|
|
.phfiforesetout(),
|
472 |
|
|
.phfifounderflow(),
|
473 |
|
|
.phfifowrdisable(rx_phfifowrdisable[0]),
|
474 |
|
|
.phfifowrdisableout(),
|
475 |
|
|
.pipebufferstat(),
|
476 |
|
|
.pipedatavalid(),
|
477 |
|
|
.pipeelecidle(),
|
478 |
|
|
.pipephydonestatus(),
|
479 |
|
|
.pipepowerdown({2{1'b0}}),
|
480 |
|
|
.pipepowerstate({4{1'b0}}),
|
481 |
|
|
.pipestatetransdoneout(),
|
482 |
|
|
.pipestatus(),
|
483 |
|
|
.prbscidenable(rx_prbscidenable[0]),
|
484 |
|
|
.quadreset(cent_unit_quadresetout[0]),
|
485 |
|
|
.rdalign(),
|
486 |
|
|
.recoveredclk(rx_pma_clockout[0]),
|
487 |
|
|
.revbitorderwa(1'b0),
|
488 |
|
|
.revparallelfdbkdata(),
|
489 |
|
|
.rlv(wire_receive_pcs0_rlv),
|
490 |
|
|
.rmfifodatadeleted(),
|
491 |
|
|
.rmfifodatainserted(),
|
492 |
|
|
.rmfifoempty(),
|
493 |
|
|
.rmfifofull(),
|
494 |
|
|
.rmfifordena(1'b0),
|
495 |
|
|
.rmfiforeset(rx_rmfiforeset[0]),
|
496 |
|
|
.rmfifowrena(1'b0),
|
497 |
|
|
.runningdisp(),
|
498 |
|
|
.rxdetectvalid(1'b0),
|
499 |
|
|
.rxfound({2{1'b0}}),
|
500 |
|
|
.signaldetect(),
|
501 |
|
|
.signaldetected(rx_signaldetect_wire[0]),
|
502 |
|
|
.syncstatus(wire_receive_pcs0_syncstatus),
|
503 |
|
|
.syncstatusdeskew(),
|
504 |
|
|
.xauidelcondmetout(),
|
505 |
|
|
.xauififoovrout(),
|
506 |
|
|
.xauiinsertincompleteout(),
|
507 |
|
|
.xauilatencycompout(),
|
508 |
|
|
.xgmctrldet(),
|
509 |
|
|
.xgmctrlin(1'b0),
|
510 |
|
|
.xgmdatain({8{1'b0}}),
|
511 |
|
|
.xgmdataout(),
|
512 |
|
|
.xgmdatavalid(),
|
513 |
|
|
.xgmrunningdisp()
|
514 |
|
|
`ifndef FORMAL_VERIFICATION
|
515 |
|
|
// synopsys translate_off
|
516 |
|
|
`endif
|
517 |
|
|
,
|
518 |
|
|
.bitslip(1'b0),
|
519 |
|
|
.elecidleinfersel({3{1'b0}}),
|
520 |
|
|
.grayelecidleinferselfromtx({3{1'b0}}),
|
521 |
|
|
.hip8b10binvpolarity(1'b0),
|
522 |
|
|
.hipelecidleinfersel({3{1'b0}}),
|
523 |
|
|
.hippowerdown({2{1'b0}}),
|
524 |
|
|
.phfifox4bytesel(1'b0),
|
525 |
|
|
.phfifox4rdenable(1'b0),
|
526 |
|
|
.phfifox4wrclk(1'b0),
|
527 |
|
|
.phfifox4wrenable(1'b0),
|
528 |
|
|
.pipe8b10binvpolarity(1'b0),
|
529 |
|
|
.pipeenrevparallellpbkfromtx(1'b0),
|
530 |
|
|
.pmatestbusin({8{1'b0}}),
|
531 |
|
|
.powerdn({2{1'b0}}),
|
532 |
|
|
.refclk(1'b0),
|
533 |
|
|
.revbyteorderwa(1'b0),
|
534 |
|
|
.wareset(1'b0),
|
535 |
|
|
.xauidelcondmet(1'b0),
|
536 |
|
|
.xauififoovr(1'b0),
|
537 |
|
|
.xauiinsertincomplete(1'b0),
|
538 |
|
|
.xauilatencycomp(1'b0)
|
539 |
|
|
`ifndef FORMAL_VERIFICATION
|
540 |
|
|
// synopsys translate_on
|
541 |
|
|
`endif
|
542 |
|
|
);
|
543 |
|
|
defparam
|
544 |
|
|
receive_pcs0.align_pattern = "0101111100",
|
545 |
|
|
receive_pcs0.align_pattern_length = 10,
|
546 |
|
|
receive_pcs0.allow_align_polarity_inversion = "false",
|
547 |
|
|
receive_pcs0.allow_pipe_polarity_inversion = "false",
|
548 |
|
|
receive_pcs0.auto_spd_deassert_ph_fifo_rst_count = 8,
|
549 |
|
|
receive_pcs0.auto_spd_phystatus_notify_count = 0,
|
550 |
|
|
receive_pcs0.bit_slip_enable = "false",
|
551 |
|
|
receive_pcs0.byte_order_mode = "none",
|
552 |
|
|
receive_pcs0.byte_order_pad_pattern = "0",
|
553 |
|
|
receive_pcs0.byte_order_pattern = "0",
|
554 |
|
|
receive_pcs0.byte_order_pld_ctrl_enable = "false",
|
555 |
|
|
receive_pcs0.cdrctrl_bypass_ppm_detector_cycle = 1000,
|
556 |
|
|
receive_pcs0.cdrctrl_enable = "false",
|
557 |
|
|
receive_pcs0.cdrctrl_mask_cycle = 800,
|
558 |
|
|
receive_pcs0.cdrctrl_min_lock_to_ref_cycle = 63,
|
559 |
|
|
receive_pcs0.cdrctrl_rxvalid_mask = "false",
|
560 |
|
|
receive_pcs0.channel_bonding = "none",
|
561 |
|
|
receive_pcs0.channel_number = ((starting_channel_number + 0) % 4),
|
562 |
|
|
receive_pcs0.channel_width = 8,
|
563 |
|
|
receive_pcs0.clk1_mux_select = "recovered clock",
|
564 |
|
|
receive_pcs0.clk2_mux_select = "local reference clock",
|
565 |
|
|
receive_pcs0.core_clock_0ppm = "false",
|
566 |
|
|
receive_pcs0.datapath_low_latency_mode = "false",
|
567 |
|
|
receive_pcs0.datapath_protocol = "basic",
|
568 |
|
|
receive_pcs0.dec_8b_10b_compatibility_mode = "true",
|
569 |
|
|
receive_pcs0.dec_8b_10b_mode = "normal",
|
570 |
|
|
receive_pcs0.deskew_pattern = "0",
|
571 |
|
|
receive_pcs0.disable_auto_idle_insertion = "true",
|
572 |
|
|
receive_pcs0.disable_running_disp_in_word_align = "false",
|
573 |
|
|
receive_pcs0.disallow_kchar_after_pattern_ordered_set = "false",
|
574 |
|
|
receive_pcs0.dprio_config_mode = 6'h01,
|
575 |
|
|
receive_pcs0.elec_idle_infer_enable = "false",
|
576 |
|
|
receive_pcs0.elec_idle_num_com_detect = 3,
|
577 |
|
|
receive_pcs0.enable_bit_reversal = "false",
|
578 |
|
|
receive_pcs0.enable_self_test_mode = "false",
|
579 |
|
|
receive_pcs0.force_signal_detect_dig = "true",
|
580 |
|
|
receive_pcs0.hip_enable = "false",
|
581 |
|
|
receive_pcs0.infiniband_invalid_code = 0,
|
582 |
|
|
receive_pcs0.insert_pad_on_underflow = "false",
|
583 |
|
|
receive_pcs0.num_align_code_groups_in_ordered_set = 1,
|
584 |
|
|
receive_pcs0.num_align_cons_good_data = 4,
|
585 |
|
|
receive_pcs0.num_align_cons_pat = 3,
|
586 |
|
|
receive_pcs0.num_align_loss_sync_error = 4,
|
587 |
|
|
receive_pcs0.ph_fifo_low_latency_enable = "true",
|
588 |
|
|
receive_pcs0.ph_fifo_reg_mode = "false",
|
589 |
|
|
receive_pcs0.protocol_hint = "gige",
|
590 |
|
|
receive_pcs0.rate_match_back_to_back = "true",
|
591 |
|
|
receive_pcs0.rate_match_delete_threshold = 13,
|
592 |
|
|
receive_pcs0.rate_match_empty_threshold = 5,
|
593 |
|
|
receive_pcs0.rate_match_fifo_mode = "true",
|
594 |
|
|
receive_pcs0.rate_match_full_threshold = 20,
|
595 |
|
|
receive_pcs0.rate_match_insert_threshold = 11,
|
596 |
|
|
receive_pcs0.rate_match_ordered_set_based = "true",
|
597 |
|
|
receive_pcs0.rate_match_pattern1 = "10100010010101111100",
|
598 |
|
|
receive_pcs0.rate_match_pattern2 = "10101011011010000011",
|
599 |
|
|
receive_pcs0.rate_match_pattern_size = 20,
|
600 |
|
|
receive_pcs0.rate_match_reset_enable = "false",
|
601 |
|
|
receive_pcs0.rate_match_skip_set_based = "false",
|
602 |
|
|
receive_pcs0.rate_match_start_threshold = 7,
|
603 |
|
|
receive_pcs0.rd_clk_mux_select = "core clock",
|
604 |
|
|
receive_pcs0.recovered_clk_mux_select = "recovered clock",
|
605 |
|
|
receive_pcs0.run_length = 40,
|
606 |
|
|
receive_pcs0.run_length_enable = "true",
|
607 |
|
|
receive_pcs0.rx_detect_bypass = "false",
|
608 |
|
|
receive_pcs0.rx_phfifo_wait_cnt = 15,
|
609 |
|
|
receive_pcs0.rxstatus_error_report_mode = 0,
|
610 |
|
|
receive_pcs0.self_test_mode = "incremental",
|
611 |
|
|
receive_pcs0.use_alignment_state_machine = "true",
|
612 |
|
|
receive_pcs0.use_deskew_fifo = "false",
|
613 |
|
|
receive_pcs0.use_double_data_mode = "false",
|
614 |
|
|
receive_pcs0.use_parallel_loopback = "false",
|
615 |
|
|
receive_pcs0.lpm_type = "cycloneiv_hssi_rx_pcs";
|
616 |
|
|
cycloneiv_hssi_rx_pma receive_pma0
|
617 |
|
|
(
|
618 |
|
|
.analogtestbus(wire_receive_pma0_analogtestbus),
|
619 |
|
|
.clockout(wire_receive_pma0_clockout),
|
620 |
|
|
.crupowerdn(cent_unit_rxcrupowerdn[0]),
|
621 |
|
|
.datain(rx_datain[0]),
|
622 |
|
|
.datastrobeout(),
|
623 |
|
|
.deserclock(rx_deserclock_in[0]),
|
624 |
|
|
.diagnosticlpbkout(wire_receive_pma0_diagnosticlpbkout),
|
625 |
|
|
.dpriodisable(w_cent_unit_dpriodisableout1w[0]),
|
626 |
|
|
.dprioin(rx_pmadprioin_wire[299:0]),
|
627 |
|
|
.dprioout(wire_receive_pma0_dprioout),
|
628 |
|
|
.freqlocked(),
|
629 |
|
|
.locktodata(((~ reconfig_togxb_busy) & rx_locktodata[0])),
|
630 |
|
|
.locktoref(rx_locktorefclk_wire[0]),
|
631 |
|
|
.locktorefout(wire_receive_pma0_locktorefout),
|
632 |
|
|
.powerdn(cent_unit_rxibpowerdn[0]),
|
633 |
|
|
.ppmdetectrefclk(rx_pll_pfdrefclkout_wire[0]),
|
634 |
|
|
.recoverdataout(wire_receive_pma0_recoverdataout),
|
635 |
|
|
.reverselpbkout(wire_receive_pma0_reverselpbkout),
|
636 |
|
|
.rxpmareset(rx_analogreset_out[0]),
|
637 |
|
|
.seriallpbkin(tx_serialloopbackout[0]),
|
638 |
|
|
.signaldetect(wire_receive_pma0_signaldetect),
|
639 |
|
|
.testbussel(4'b0110)
|
640 |
|
|
`ifndef FORMAL_VERIFICATION
|
641 |
|
|
// synopsys translate_off
|
642 |
|
|
`endif
|
643 |
|
|
,
|
644 |
|
|
.dpashift(1'b0)
|
645 |
|
|
`ifndef FORMAL_VERIFICATION
|
646 |
|
|
// synopsys translate_on
|
647 |
|
|
`endif
|
648 |
|
|
);
|
649 |
|
|
defparam
|
650 |
|
|
receive_pma0.allow_serial_loopback = "false",
|
651 |
|
|
receive_pma0.channel_number = ((starting_channel_number + 0) % 4),
|
652 |
|
|
receive_pma0.common_mode = "0.82V",
|
653 |
|
|
receive_pma0.deserialization_factor = 10,
|
654 |
|
|
receive_pma0.dprio_config_mode = 6'h01,
|
655 |
|
|
receive_pma0.effective_data_rate = "1250.0 Mbps",
|
656 |
|
|
receive_pma0.enable_local_divider = "false",
|
657 |
|
|
receive_pma0.enable_ltd = "false",
|
658 |
|
|
receive_pma0.enable_ltr = "false",
|
659 |
|
|
receive_pma0.enable_second_order_loop = "false",
|
660 |
|
|
receive_pma0.eq_dc_gain = 0,
|
661 |
12 |
jefflieu |
receive_pma0.eq_setting = 5,
|
662 |
2 |
jefflieu |
receive_pma0.force_signal_detect = "true",
|
663 |
|
|
receive_pma0.logical_channel_address = (starting_channel_number + 0),
|
664 |
|
|
receive_pma0.loop_1_digital_filter = 8,
|
665 |
|
|
receive_pma0.offset_cancellation = 1,
|
666 |
|
|
receive_pma0.ppm_gen1_2_xcnt_en = 1,
|
667 |
|
|
receive_pma0.ppm_post_eidle = 0,
|
668 |
|
|
receive_pma0.ppmselect = 8,
|
669 |
|
|
receive_pma0.protocol_hint = "gige",
|
670 |
|
|
receive_pma0.signal_detect_hysteresis = 8,
|
671 |
|
|
receive_pma0.signal_detect_hysteresis_valid_threshold = 14,
|
672 |
|
|
receive_pma0.signal_detect_loss_threshold = 1,
|
673 |
|
|
receive_pma0.termination = "OCT 100 Ohms",
|
674 |
|
|
receive_pma0.use_external_termination = "false",
|
675 |
|
|
receive_pma0.lpm_type = "cycloneiv_hssi_rx_pma";
|
676 |
|
|
cycloneiv_hssi_tx_pcs transmit_pcs0
|
677 |
|
|
(
|
678 |
|
|
.clkout(wire_transmit_pcs0_clkout),
|
679 |
|
|
.coreclk(tx_coreclk_in[0]),
|
680 |
|
|
.coreclkout(),
|
681 |
|
|
.ctrlenable({{1{1'b0}}, tx_ctrlenable[0]}),
|
682 |
|
|
.datain({{12{1'b0}}, tx_datain_wire[7:0]}),
|
683 |
|
|
.datainfull({22{1'b0}}),
|
684 |
|
|
.dataout(wire_transmit_pcs0_dataout),
|
685 |
|
|
.detectrxloop(1'b0),
|
686 |
|
|
.digitalreset(tx_digitalreset_out[0]),
|
687 |
|
|
.dpriodisable(w_cent_unit_dpriodisableout1w[0]),
|
688 |
|
|
.dprioin(tx_dprioin_wire[149:0]),
|
689 |
|
|
.dprioout(wire_transmit_pcs0_dprioout),
|
690 |
|
|
.enrevparallellpbk(1'b0),
|
691 |
|
|
.forcedisp({{1{1'b0}}, tx_forcedisp_wire[0]}),
|
692 |
|
|
.forceelecidleout(),
|
693 |
|
|
.grayelecidleinferselout(),
|
694 |
|
|
.hiptxclkout(),
|
695 |
|
|
.invpol(tx_invpolarity[0]),
|
696 |
|
|
.localrefclk(tx_localrefclk[0]),
|
697 |
|
|
.parallelfdbkout(),
|
698 |
|
|
.phfifooverflow(),
|
699 |
|
|
.phfiforddisable(1'b0),
|
700 |
|
|
.phfiforddisableout(),
|
701 |
|
|
.phfiforeset(tx_phfiforeset[0]),
|
702 |
|
|
.phfiforesetout(),
|
703 |
|
|
.phfifounderflow(),
|
704 |
|
|
.phfifowrenable(1'b1),
|
705 |
|
|
.phfifowrenableout(),
|
706 |
|
|
.pipeenrevparallellpbkout(),
|
707 |
|
|
.pipepowerdownout(),
|
708 |
|
|
.pipepowerstateout(),
|
709 |
|
|
.pipestatetransdone(1'b0),
|
710 |
|
|
.powerdn({2{1'b0}}),
|
711 |
|
|
.quadreset(cent_unit_quadresetout[0]),
|
712 |
|
|
.rdenablesync(),
|
713 |
|
|
.revparallelfdbk({20{1'b0}}),
|
714 |
|
|
.txdetectrx(wire_transmit_pcs0_txdetectrx),
|
715 |
|
|
.xgmctrlenable(),
|
716 |
|
|
.xgmdataout()
|
717 |
|
|
`ifndef FORMAL_VERIFICATION
|
718 |
|
|
// synopsys translate_off
|
719 |
|
|
`endif
|
720 |
|
|
,
|
721 |
|
|
.bitslipboundaryselect({5{1'b0}}),
|
722 |
|
|
.dispval({2{1'b0}}),
|
723 |
|
|
.elecidleinfersel({3{1'b0}}),
|
724 |
|
|
.forceelecidle(1'b0),
|
725 |
|
|
.hipdatain({10{1'b0}}),
|
726 |
|
|
.hipdetectrxloop(1'b0),
|
727 |
|
|
.hipelecidleinfersel({3{1'b0}}),
|
728 |
|
|
.hipforceelecidle(1'b0),
|
729 |
|
|
.hippowerdn({2{1'b0}}),
|
730 |
|
|
.phfifox4bytesel(1'b0),
|
731 |
|
|
.phfifox4rdclk(1'b0),
|
732 |
|
|
.phfifox4rdenable(1'b0),
|
733 |
|
|
.phfifox4wrenable(1'b0),
|
734 |
|
|
.pipetxswing(1'b0),
|
735 |
|
|
.prbscidenable(1'b0),
|
736 |
|
|
.refclk(1'b0),
|
737 |
|
|
.xgmctrl(1'b0),
|
738 |
|
|
.xgmdatain({8{1'b0}})
|
739 |
|
|
`ifndef FORMAL_VERIFICATION
|
740 |
|
|
// synopsys translate_on
|
741 |
|
|
`endif
|
742 |
|
|
);
|
743 |
|
|
defparam
|
744 |
|
|
transmit_pcs0.allow_polarity_inversion = "false",
|
745 |
|
|
transmit_pcs0.bitslip_enable = "false",
|
746 |
|
|
transmit_pcs0.channel_bonding = "none",
|
747 |
|
|
transmit_pcs0.channel_number = ((starting_channel_number + 0) % 4),
|
748 |
|
|
transmit_pcs0.channel_width = 8,
|
749 |
|
|
transmit_pcs0.core_clock_0ppm = "false",
|
750 |
|
|
transmit_pcs0.datapath_low_latency_mode = "false",
|
751 |
|
|
transmit_pcs0.datapath_protocol = "basic",
|
752 |
|
|
transmit_pcs0.disable_ph_low_latency_mode = "false",
|
753 |
|
|
transmit_pcs0.disparity_mode = "none",
|
754 |
|
|
transmit_pcs0.dprio_config_mode = 6'h01,
|
755 |
|
|
transmit_pcs0.elec_idle_delay = 6,
|
756 |
|
|
transmit_pcs0.enable_bit_reversal = "false",
|
757 |
|
|
transmit_pcs0.enable_idle_selection = "true",
|
758 |
|
|
transmit_pcs0.enable_reverse_parallel_loopback = "false",
|
759 |
|
|
transmit_pcs0.enable_self_test_mode = "false",
|
760 |
|
|
transmit_pcs0.enc_8b_10b_compatibility_mode = "true",
|
761 |
|
|
transmit_pcs0.enc_8b_10b_mode = "normal",
|
762 |
|
|
transmit_pcs0.hip_enable = "false",
|
763 |
|
|
transmit_pcs0.ph_fifo_reg_mode = "false",
|
764 |
|
|
transmit_pcs0.prbs_cid_pattern = "false",
|
765 |
|
|
transmit_pcs0.protocol_hint = "gige",
|
766 |
|
|
transmit_pcs0.refclk_select = "local",
|
767 |
|
|
transmit_pcs0.self_test_mode = "incremental",
|
768 |
|
|
transmit_pcs0.use_double_data_mode = "false",
|
769 |
|
|
transmit_pcs0.wr_clk_mux_select = "core_clk",
|
770 |
|
|
transmit_pcs0.lpm_type = "cycloneiv_hssi_tx_pcs";
|
771 |
|
|
cycloneiv_hssi_tx_pma transmit_pma0
|
772 |
|
|
(
|
773 |
|
|
.cgbpowerdn(cent_unit_txdividerpowerdown[0]),
|
774 |
|
|
.clockout(wire_transmit_pma0_clockout),
|
775 |
|
|
.datain({tx_dataout_pcs_to_pma[9:0]}),
|
776 |
|
|
.dataout(wire_transmit_pma0_dataout),
|
777 |
|
|
.detectrxpowerdown(cent_unit_txdetectrxpowerdn[0]),
|
778 |
|
|
.diagnosticlpbkin(tx_diagnosticlpbkin[0]),
|
779 |
|
|
.dpriodisable(w_cent_unit_dpriodisableout1w[0]),
|
780 |
|
|
.dprioin(tx_pmadprioin_wire[299:0]),
|
781 |
|
|
.dprioout(wire_transmit_pma0_dprioout),
|
782 |
|
|
.fastrefclk0in(tx_pma_fastrefclk0in[0]),
|
783 |
|
|
.forceelecidle(1'b0),
|
784 |
|
|
.powerdn(cent_unit_txobpowerdn[0]),
|
785 |
|
|
.refclk0in(tx_pma_refclk0in[0]),
|
786 |
|
|
.refclk0inpulse(tx_pma_refclk0inpulse[0]),
|
787 |
|
|
.reverselpbkin(rx_reverselpbkout[0]),
|
788 |
|
|
.rxdetecten(txdetectrxout[0]),
|
789 |
|
|
.rxdetectvalidout(),
|
790 |
|
|
.rxfoundout(),
|
791 |
|
|
.seriallpbkout(wire_transmit_pma0_seriallpbkout),
|
792 |
|
|
.txpmareset(tx_analogreset_out[0])
|
793 |
|
|
`ifndef FORMAL_VERIFICATION
|
794 |
|
|
// synopsys translate_off
|
795 |
|
|
`endif
|
796 |
|
|
,
|
797 |
|
|
.rxdetectclk(1'b0)
|
798 |
|
|
`ifndef FORMAL_VERIFICATION
|
799 |
|
|
// synopsys translate_on
|
800 |
|
|
`endif
|
801 |
|
|
);
|
802 |
|
|
defparam
|
803 |
|
|
transmit_pma0.channel_number = ((starting_channel_number + 0) % 4),
|
804 |
|
|
transmit_pma0.common_mode = "0.65V",
|
805 |
|
|
transmit_pma0.dprio_config_mode = 6'h01,
|
806 |
|
|
transmit_pma0.effective_data_rate = "1250.0 Mbps",
|
807 |
|
|
transmit_pma0.enable_diagnostic_loopback = "false",
|
808 |
|
|
transmit_pma0.enable_reverse_serial_loopback = "false",
|
809 |
|
|
transmit_pma0.logical_channel_address = (starting_channel_number + 0),
|
810 |
|
|
transmit_pma0.preemp_tap_1 = 0,
|
811 |
|
|
transmit_pma0.protocol_hint = "gige",
|
812 |
|
|
transmit_pma0.rx_detect = 0,
|
813 |
|
|
transmit_pma0.serialization_factor = 10,
|
814 |
|
|
transmit_pma0.slew_rate = "medium",
|
815 |
|
|
transmit_pma0.termination = "OCT 100 Ohms",
|
816 |
|
|
transmit_pma0.use_external_termination = "false",
|
817 |
|
|
transmit_pma0.use_rx_detect = "false",
|
818 |
|
|
transmit_pma0.vod_selection = 4,
|
819 |
|
|
transmit_pma0.lpm_type = "cycloneiv_hssi_tx_pma";
|
820 |
|
|
assign
|
821 |
|
|
cal_blk_powerdown = 1'b0,
|
822 |
|
|
cent_unit_quadresetout = {wire_cent_unit0_quadresetout},
|
823 |
|
|
cent_unit_rxcrupowerdn = {wire_cent_unit0_rxcrupowerdown[3:0]},
|
824 |
|
|
cent_unit_rxibpowerdn = {wire_cent_unit0_rxibpowerdown[3:0]},
|
825 |
|
|
cent_unit_rxpcsdprioin = {{1200{1'b0}}, rx_pcsdprioout[399:0]},
|
826 |
|
|
cent_unit_rxpcsdprioout = {wire_cent_unit0_rxpcsdprioout[1599:0]},
|
827 |
|
|
cent_unit_rxpmadprioin = {{900{1'b0}}, rx_pmadprioout[299:0]},
|
828 |
|
|
cent_unit_rxpmadprioout = {wire_cent_unit0_rxpmadprioout[1199:0]},
|
829 |
|
|
cent_unit_tx_dprioin = {{450{1'b0}}, tx_txdprioout[149:0]},
|
830 |
|
|
cent_unit_txdetectrxpowerdn = {wire_cent_unit0_txdetectrxpowerdown[3:0]},
|
831 |
|
|
cent_unit_txdividerpowerdown = {wire_cent_unit0_txdividerpowerdown[3:0]},
|
832 |
|
|
cent_unit_txdprioout = {wire_cent_unit0_txpcsdprioout[599:0]},
|
833 |
|
|
cent_unit_txobpowerdn = {wire_cent_unit0_txobpowerdown[3:0]},
|
834 |
|
|
cent_unit_txpmadprioin = {{900{1'b0}}, tx_pmadprioout[299:0]},
|
835 |
|
|
cent_unit_txpmadprioout = {wire_cent_unit0_txpmadprioout[1199:0]},
|
836 |
|
|
fixedclk_to_cmu = {4{reconfig_clk}},
|
837 |
|
|
nonusertocmu_out = {wire_cal_blk0_nonusertocmu},
|
838 |
|
|
pll_areset = 1'b0,
|
839 |
|
|
pll_locked = {wire_pll0_locked},
|
840 |
|
|
pll_powerdown = 1'b0,
|
841 |
|
|
reconfig_fromgxb = {rx_pma_analogtestbus[4:1], wire_cent_unit0_dprioout},
|
842 |
|
|
reconfig_togxb_busy = reconfig_togxb[3],
|
843 |
|
|
reconfig_togxb_disable = reconfig_togxb[1],
|
844 |
|
|
reconfig_togxb_in = reconfig_togxb[0],
|
845 |
|
|
reconfig_togxb_load = reconfig_togxb[2],
|
846 |
|
|
rx_analogreset_in = {{3{1'b0}}, ((~ reconfig_togxb_busy) & rx_analogreset[0])},
|
847 |
|
|
rx_analogreset_out = {wire_cent_unit0_rxanalogresetout[3:0]},
|
848 |
|
|
rx_coreclk_in = {tx_core_clkout_wire[0]},
|
849 |
|
|
rx_ctrldetect = {wire_receive_pcs0_ctrldetect[0]},
|
850 |
|
|
rx_dataout = {rx_out_wire[7:0]},
|
851 |
|
|
rx_deserclock_in = {wire_pll0_icdrclk},
|
852 |
|
|
rx_digitalreset_in = {{3{1'b0}}, rx_digitalreset[0]},
|
853 |
|
|
rx_digitalreset_out = {wire_cent_unit0_rxdigitalresetout[3:0]},
|
854 |
|
|
rx_disperr = {wire_receive_pcs0_disperr[0]},
|
855 |
|
|
rx_enapatternalign = 1'b0,
|
856 |
|
|
rx_errdetect = {wire_receive_pcs0_errdetect[0]},
|
857 |
|
|
rx_locktodata = 1'b0,
|
858 |
|
|
rx_locktorefclk = 1'b0,
|
859 |
|
|
rx_locktorefclk_wire = {wire_receive_pcs0_cdrctrllocktorefclkout},
|
860 |
|
|
rx_out_wire = {wire_receive_pcs0_dataout[7:0]},
|
861 |
|
|
rx_patterndetect = {wire_receive_pcs0_patterndetect[0]},
|
862 |
|
|
rx_pcsdprioin_wire = {{1200{1'b0}}, cent_unit_rxpcsdprioout[399:0]},
|
863 |
|
|
rx_pcsdprioout = {{1200{1'b0}}, wire_receive_pcs0_dprioout},
|
864 |
|
|
rx_phfifordenable = 1'b1,
|
865 |
|
|
rx_phfiforeset = 1'b0,
|
866 |
|
|
rx_phfifowrdisable = 1'b0,
|
867 |
|
|
rx_pll_pfdrefclkout_wire = {wire_pll0_fref},
|
868 |
|
|
rx_pma_analogtestbus = {{4{1'b0}}, wire_receive_pma0_analogtestbus[6]},
|
869 |
|
|
rx_pma_clockout = {wire_receive_pma0_clockout},
|
870 |
|
|
rx_pma_recoverdataout_wire = {wire_receive_pma0_recoverdataout[9:0]},
|
871 |
|
|
rx_pmadprioin_wire = {{900{1'b0}}, cent_unit_rxpmadprioout[299:0]},
|
872 |
|
|
rx_pmadprioout = {{900{1'b0}}, wire_receive_pma0_dprioout},
|
873 |
|
|
rx_powerdown = 1'b0,
|
874 |
|
|
rx_powerdown_in = {{3{1'b0}}, rx_powerdown[0]},
|
875 |
|
|
rx_prbscidenable = 1'b0,
|
876 |
|
|
rx_reverselpbkout = {wire_receive_pma0_reverselpbkout},
|
877 |
|
|
rx_rlv = {wire_receive_pcs0_rlv},
|
878 |
|
|
rx_rmfiforeset = 1'b0,
|
879 |
|
|
rx_signaldetect_wire = {wire_receive_pma0_signaldetect},
|
880 |
|
|
rx_syncstatus = {wire_receive_pcs0_syncstatus[0]},
|
881 |
|
|
tx_analogreset_out = {wire_cent_unit0_txanalogresetout[3:0]},
|
882 |
|
|
tx_clkout = {tx_core_clkout_wire[0]},
|
883 |
|
|
tx_clkout_int_wire = {wire_transmit_pcs0_clkout},
|
884 |
|
|
tx_core_clkout_wire = {tx_clkout_int_wire[0]},
|
885 |
|
|
tx_coreclk_in = {tx_clkout_int_wire[0]},
|
886 |
|
|
tx_datain_wire = {tx_datain[7:0]},
|
887 |
|
|
tx_dataout = {txdataout[0]},
|
888 |
|
|
tx_dataout_pcs_to_pma = {wire_transmit_pcs0_dataout[9:0]},
|
889 |
|
|
tx_diagnosticlpbkin = {wire_receive_pma0_diagnosticlpbkout},
|
890 |
|
|
tx_digitalreset_in = {{3{1'b0}}, tx_digitalreset[0]},
|
891 |
|
|
tx_digitalreset_out = {wire_cent_unit0_txdigitalresetout[3:0]},
|
892 |
|
|
tx_dprioin_wire = {{450{1'b0}}, cent_unit_txdprioout[149:0]},
|
893 |
|
|
tx_forcedisp_wire = {1'b0},
|
894 |
|
|
tx_invpolarity = 1'b0,
|
895 |
|
|
tx_localrefclk = {wire_transmit_pma0_clockout},
|
896 |
|
|
tx_phfiforeset = 1'b0,
|
897 |
|
|
tx_pma_fastrefclk0in = {wire_pll0_clk[0]},
|
898 |
|
|
tx_pma_refclk0in = {wire_pll0_clk[1]},
|
899 |
|
|
tx_pma_refclk0inpulse = {wire_pll0_clk[2]},
|
900 |
|
|
tx_pmadprioin_wire = {{900{1'b0}}, cent_unit_txpmadprioout[299:0]},
|
901 |
|
|
tx_pmadprioout = {{900{1'b0}}, wire_transmit_pma0_dprioout},
|
902 |
|
|
tx_serialloopbackout = {wire_transmit_pma0_seriallpbkout},
|
903 |
|
|
tx_txdprioout = {{450{1'b0}}, wire_transmit_pcs0_dprioout},
|
904 |
|
|
txdataout = {wire_transmit_pma0_dataout},
|
905 |
|
|
txdetectrxout = {wire_transmit_pcs0_txdetectrx},
|
906 |
|
|
w_cent_unit_dpriodisableout1w = {wire_cent_unit0_dpriodisableout};
|
907 |
|
|
endmodule //mAltGX_alt_c3gxb
|
908 |
|
|
//VALID FILE
|
909 |
|
|
|
910 |
|
|
|
911 |
|
|
// synopsys translate_off
|
912 |
|
|
`timescale 1 ps / 1 ps
|
913 |
|
|
// synopsys translate_on
|
914 |
|
|
module mAltGX (
|
915 |
|
|
cal_blk_clk,
|
916 |
|
|
gxb_powerdown,
|
917 |
|
|
pll_inclk,
|
918 |
|
|
reconfig_clk,
|
919 |
|
|
reconfig_togxb,
|
920 |
|
|
rx_analogreset,
|
921 |
|
|
rx_datain,
|
922 |
|
|
rx_digitalreset,
|
923 |
|
|
tx_ctrlenable,
|
924 |
|
|
tx_datain,
|
925 |
|
|
tx_digitalreset,
|
926 |
|
|
pll_locked,
|
927 |
|
|
reconfig_fromgxb,
|
928 |
|
|
rx_ctrldetect,
|
929 |
|
|
rx_dataout,
|
930 |
|
|
rx_disperr,
|
931 |
|
|
rx_errdetect,
|
932 |
|
|
rx_patterndetect,
|
933 |
|
|
rx_rlv,
|
934 |
|
|
rx_syncstatus,
|
935 |
|
|
tx_clkout,
|
936 |
|
|
tx_dataout);
|
937 |
|
|
|
938 |
|
|
input cal_blk_clk;
|
939 |
|
|
input [0:0] gxb_powerdown;
|
940 |
|
|
input [0:0] pll_inclk;
|
941 |
|
|
input reconfig_clk;
|
942 |
|
|
input [3:0] reconfig_togxb;
|
943 |
|
|
input [0:0] rx_analogreset;
|
944 |
|
|
input [0:0] rx_datain;
|
945 |
|
|
input [0:0] rx_digitalreset;
|
946 |
|
|
input [0:0] tx_ctrlenable;
|
947 |
|
|
input [7:0] tx_datain;
|
948 |
|
|
input [0:0] tx_digitalreset;
|
949 |
|
|
output [0:0] pll_locked;
|
950 |
|
|
output [4:0] reconfig_fromgxb;
|
951 |
|
|
output [0:0] rx_ctrldetect;
|
952 |
|
|
output [7:0] rx_dataout;
|
953 |
|
|
output [0:0] rx_disperr;
|
954 |
|
|
output [0:0] rx_errdetect;
|
955 |
|
|
output [0:0] rx_patterndetect;
|
956 |
|
|
output [0:0] rx_rlv;
|
957 |
|
|
output [0:0] rx_syncstatus;
|
958 |
|
|
output [0:0] tx_clkout;
|
959 |
|
|
output [0:0] tx_dataout;
|
960 |
|
|
|
961 |
|
|
parameter starting_channel_number = 0;
|
962 |
|
|
|
963 |
|
|
|
964 |
|
|
wire [0:0] sub_wire0;
|
965 |
|
|
wire [0:0] sub_wire1;
|
966 |
|
|
wire [4:0] sub_wire2;
|
967 |
|
|
wire [0:0] sub_wire3;
|
968 |
|
|
wire [0:0] sub_wire4;
|
969 |
|
|
wire [0:0] sub_wire5;
|
970 |
|
|
wire [7:0] sub_wire6;
|
971 |
|
|
wire [0:0] sub_wire7;
|
972 |
|
|
wire [0:0] sub_wire8;
|
973 |
|
|
wire [0:0] sub_wire9;
|
974 |
|
|
wire [0:0] sub_wire10;
|
975 |
|
|
wire [0:0] rx_patterndetect = sub_wire0[0:0];
|
976 |
|
|
wire [0:0] pll_locked = sub_wire1[0:0];
|
977 |
|
|
wire [4:0] reconfig_fromgxb = sub_wire2[4:0];
|
978 |
|
|
wire [0:0] rx_disperr = sub_wire3[0:0];
|
979 |
|
|
wire [0:0] rx_syncstatus = sub_wire4[0:0];
|
980 |
|
|
wire [0:0] rx_ctrldetect = sub_wire5[0:0];
|
981 |
|
|
wire [7:0] rx_dataout = sub_wire6[7:0];
|
982 |
|
|
wire [0:0] rx_errdetect = sub_wire7[0:0];
|
983 |
|
|
wire [0:0] rx_rlv = sub_wire8[0:0];
|
984 |
|
|
wire [0:0] tx_clkout = sub_wire9[0:0];
|
985 |
|
|
wire [0:0] tx_dataout = sub_wire10[0:0];
|
986 |
|
|
|
987 |
|
|
mAltGX_alt_c3gxb mAltGX_alt_c3gxb_component (
|
988 |
|
|
.pll_inclk (pll_inclk),
|
989 |
|
|
.reconfig_togxb (reconfig_togxb),
|
990 |
|
|
.cal_blk_clk (cal_blk_clk),
|
991 |
|
|
.reconfig_clk (reconfig_clk),
|
992 |
|
|
.rx_analogreset (rx_analogreset),
|
993 |
|
|
.rx_datain (rx_datain),
|
994 |
|
|
.rx_digitalreset (rx_digitalreset),
|
995 |
|
|
.tx_ctrlenable (tx_ctrlenable),
|
996 |
|
|
.tx_datain (tx_datain),
|
997 |
|
|
.tx_digitalreset (tx_digitalreset),
|
998 |
|
|
.gxb_powerdown (gxb_powerdown),
|
999 |
|
|
.rx_patterndetect (sub_wire0),
|
1000 |
|
|
.pll_locked (sub_wire1),
|
1001 |
|
|
.reconfig_fromgxb (sub_wire2),
|
1002 |
|
|
.rx_disperr (sub_wire3),
|
1003 |
|
|
.rx_syncstatus (sub_wire4),
|
1004 |
|
|
.rx_ctrldetect (sub_wire5),
|
1005 |
|
|
.rx_dataout (sub_wire6),
|
1006 |
|
|
.rx_errdetect (sub_wire7),
|
1007 |
|
|
.rx_rlv (sub_wire8),
|
1008 |
|
|
.tx_clkout (sub_wire9),
|
1009 |
|
|
.tx_dataout (sub_wire10));
|
1010 |
|
|
defparam
|
1011 |
|
|
mAltGX_alt_c3gxb_component.starting_channel_number = starting_channel_number;
|
1012 |
|
|
|
1013 |
|
|
|
1014 |
|
|
endmodule
|
1015 |
|
|
|
1016 |
|
|
// ============================================================
|
1017 |
|
|
// CNX file retrieval info
|
1018 |
|
|
// ============================================================
|
1019 |
|
|
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV GX"
|
1020 |
|
|
// Retrieval info: PRIVATE: NUM_KEYS NUMERIC "0"
|
1021 |
|
|
// Retrieval info: PRIVATE: RECONFIG_PROTOCOL STRING "BASIC"
|
1022 |
|
|
// Retrieval info: PRIVATE: RECONFIG_SUBPROTOCOL STRING "none"
|
1023 |
|
|
// Retrieval info: PRIVATE: RX_ENABLE_DC_COUPLING STRING "false"
|
1024 |
|
|
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
|
1025 |
12 |
jefflieu |
// Retrieval info: PRIVATE: WIZ_BASE_DATA_RATE STRING "1250.0"
|
1026 |
2 |
jefflieu |
// Retrieval info: PRIVATE: WIZ_BASE_DATA_RATE_ENABLE STRING "0"
|
1027 |
|
|
// Retrieval info: PRIVATE: WIZ_DATA_RATE STRING "1250.0"
|
1028 |
|
|
// Retrieval info: PRIVATE: WIZ_DPRIO_INCLK_FREQ_ARRAY STRING "100 100 100 100 100 100 100 100"
|
1029 |
|
|
// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_A STRING "2000"
|
1030 |
|
|
// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_A_UNIT STRING "Mbps"
|
1031 |
|
|
// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_B STRING "100"
|
1032 |
|
|
// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_B_UNIT STRING "MHz"
|
1033 |
|
|
// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_SELECTION NUMERIC "0"
|
1034 |
|
|
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK0_FREQ STRING "125.0"
|
1035 |
|
|
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK0_PROTOCOL STRING "GIGE"
|
1036 |
|
|
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK1_FREQ STRING "250"
|
1037 |
|
|
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK1_PROTOCOL STRING "Basic"
|
1038 |
|
|
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK2_FREQ STRING "250"
|
1039 |
|
|
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK2_PROTOCOL STRING "Basic"
|
1040 |
|
|
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK3_FREQ STRING "250"
|
1041 |
|
|
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK3_PROTOCOL STRING "Basic"
|
1042 |
|
|
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK4_FREQ STRING "250"
|
1043 |
|
|
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK4_PROTOCOL STRING "Basic"
|
1044 |
|
|
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK5_FREQ STRING "250"
|
1045 |
|
|
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK5_PROTOCOL STRING "Basic"
|
1046 |
|
|
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK6_FREQ STRING "250"
|
1047 |
|
|
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK6_PROTOCOL STRING "Basic"
|
1048 |
12 |
jefflieu |
// Retrieval info: PRIVATE: WIZ_ENABLE_EQUALIZER_CTRL NUMERIC "1"
|
1049 |
|
|
// Retrieval info: PRIVATE: WIZ_EQUALIZER_CTRL_SETTING NUMERIC "1"
|
1050 |
2 |
jefflieu |
// Retrieval info: PRIVATE: WIZ_FORCE_DEFAULT_SETTINGS NUMERIC "1"
|
1051 |
|
|
// Retrieval info: PRIVATE: WIZ_INCLK_FREQ STRING "125.0"
|
1052 |
|
|
// Retrieval info: PRIVATE: WIZ_INCLK_FREQ_ARRAY STRING "62.5 125.0"
|
1053 |
|
|
// Retrieval info: PRIVATE: WIZ_INPUT_A STRING "1250.0"
|
1054 |
|
|
// Retrieval info: PRIVATE: WIZ_INPUT_A_UNIT STRING "Mbps"
|
1055 |
|
|
// Retrieval info: PRIVATE: WIZ_INPUT_B STRING "125.0"
|
1056 |
|
|
// Retrieval info: PRIVATE: WIZ_INPUT_B_UNIT STRING "MHz"
|
1057 |
|
|
// Retrieval info: PRIVATE: WIZ_INPUT_SELECTION NUMERIC "0"
|
1058 |
|
|
// Retrieval info: PRIVATE: WIZ_PROTOCOL STRING "GIGE"
|
1059 |
|
|
// Retrieval info: PRIVATE: WIZ_SUBPROTOCOL STRING "None"
|
1060 |
|
|
// Retrieval info: PRIVATE: WIZ_WORD_ALIGN_FLIP_PATTERN STRING "0"
|
1061 |
|
|
// Retrieval info: PARAMETER: STARTING_CHANNEL_NUMBER NUMERIC "0"
|
1062 |
|
|
// Retrieval info: CONSTANT: EFFECTIVE_DATA_RATE STRING "1250.0 Mbps"
|
1063 |
|
|
// Retrieval info: CONSTANT: ENABLE_LC_TX_PLL STRING "false"
|
1064 |
|
|
// Retrieval info: CONSTANT: ENABLE_PLL_INCLK_ALT_DRIVE_RX_CRU STRING "true"
|
1065 |
|
|
// Retrieval info: CONSTANT: ENABLE_PLL_INCLK_DRIVE_RX_CRU STRING "true"
|
1066 |
|
|
// Retrieval info: CONSTANT: EQUALIZER_DCGAIN_SETTING NUMERIC "0"
|
1067 |
|
|
// Retrieval info: CONSTANT: GEN_RECONFIG_PLL STRING "false"
|
1068 |
|
|
// Retrieval info: CONSTANT: GX_CHANNEL_TYPE STRING ""
|
1069 |
|
|
// Retrieval info: CONSTANT: INPUT_CLOCK_FREQUENCY STRING "125.0 MHz"
|
1070 |
|
|
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV GX"
|
1071 |
12 |
jefflieu |
// Retrieval info: CONSTANT: INTENDED_DEVICE_SPEED_GRADE STRING "6"
|
1072 |
2 |
jefflieu |
// Retrieval info: CONSTANT: INTENDED_DEVICE_VARIANT STRING "ANY"
|
1073 |
|
|
// Retrieval info: CONSTANT: LOOPBACK_MODE STRING "none"
|
1074 |
|
|
// Retrieval info: CONSTANT: LPM_TYPE STRING "alt_c3gxb"
|
1075 |
|
|
// Retrieval info: CONSTANT: NUMBER_OF_CHANNELS NUMERIC "1"
|
1076 |
|
|
// Retrieval info: CONSTANT: OPERATION_MODE STRING "duplex"
|
1077 |
|
|
// Retrieval info: CONSTANT: PLL_BANDWIDTH_TYPE STRING "Auto"
|
1078 |
|
|
// Retrieval info: CONSTANT: PLL_CONTROL_WIDTH NUMERIC "1"
|
1079 |
|
|
// Retrieval info: CONSTANT: PLL_INCLK_PERIOD NUMERIC "8000"
|
1080 |
|
|
// Retrieval info: CONSTANT: PLL_PFD_FB_MODE STRING "internal"
|
1081 |
|
|
// Retrieval info: CONSTANT: PREEMPHASIS_CTRL_1STPOSTTAP_SETTING NUMERIC "0"
|
1082 |
|
|
// Retrieval info: CONSTANT: PROTOCOL STRING "gige"
|
1083 |
|
|
// Retrieval info: CONSTANT: RECEIVER_TERMINATION STRING "oct_100_ohms"
|
1084 |
|
|
// Retrieval info: CONSTANT: RECONFIG_DPRIO_MODE NUMERIC "0"
|
1085 |
|
|
// Retrieval info: CONSTANT: RX_8B_10B_MODE STRING "normal"
|
1086 |
|
|
// Retrieval info: CONSTANT: RX_ALIGN_PATTERN STRING "0101111100"
|
1087 |
|
|
// Retrieval info: CONSTANT: RX_ALIGN_PATTERN_LENGTH NUMERIC "10"
|
1088 |
|
|
// Retrieval info: CONSTANT: RX_ALLOW_ALIGN_POLARITY_INVERSION STRING "false"
|
1089 |
|
|
// Retrieval info: CONSTANT: RX_ALLOW_PIPE_POLARITY_INVERSION STRING "false"
|
1090 |
|
|
// Retrieval info: CONSTANT: RX_BITSLIP_ENABLE STRING "false"
|
1091 |
|
|
// Retrieval info: CONSTANT: RX_BYTE_ORDERING_MODE STRING "NONE"
|
1092 |
|
|
// Retrieval info: CONSTANT: RX_CHANNEL_WIDTH NUMERIC "8"
|
1093 |
|
|
// Retrieval info: CONSTANT: RX_COMMON_MODE STRING "0.82v"
|
1094 |
|
|
// Retrieval info: CONSTANT: RX_CRU_INCLOCK0_PERIOD NUMERIC "8000"
|
1095 |
|
|
// Retrieval info: CONSTANT: RX_DATAPATH_PROTOCOL STRING "basic"
|
1096 |
|
|
// Retrieval info: CONSTANT: RX_DATA_RATE NUMERIC "1250"
|
1097 |
|
|
// Retrieval info: CONSTANT: RX_DATA_RATE_REMAINDER NUMERIC "0"
|
1098 |
|
|
// Retrieval info: CONSTANT: RX_DIGITALRESET_PORT_WIDTH NUMERIC "1"
|
1099 |
|
|
// Retrieval info: CONSTANT: RX_ENABLE_BIT_REVERSAL STRING "false"
|
1100 |
|
|
// Retrieval info: CONSTANT: RX_ENABLE_LOCK_TO_DATA_SIG STRING "false"
|
1101 |
|
|
// Retrieval info: CONSTANT: RX_ENABLE_LOCK_TO_REFCLK_SIG STRING "false"
|
1102 |
|
|
// Retrieval info: CONSTANT: RX_ENABLE_SELF_TEST_MODE STRING "false"
|
1103 |
|
|
// Retrieval info: CONSTANT: RX_FORCE_SIGNAL_DETECT STRING "true"
|
1104 |
|
|
// Retrieval info: CONSTANT: RX_PPMSELECT NUMERIC "8"
|
1105 |
|
|
// Retrieval info: CONSTANT: RX_RATE_MATCH_FIFO_MODE STRING "normal"
|
1106 |
|
|
// Retrieval info: CONSTANT: RX_RATE_MATCH_PATTERN1 STRING "10100010010101111100"
|
1107 |
|
|
// Retrieval info: CONSTANT: RX_RATE_MATCH_PATTERN2 STRING "10101011011010000011"
|
1108 |
|
|
// Retrieval info: CONSTANT: RX_RATE_MATCH_PATTERN_SIZE NUMERIC "20"
|
1109 |
|
|
// Retrieval info: CONSTANT: RX_RUN_LENGTH NUMERIC "40"
|
1110 |
|
|
// Retrieval info: CONSTANT: RX_RUN_LENGTH_ENABLE STRING "true"
|
1111 |
|
|
// Retrieval info: CONSTANT: RX_SIGNAL_DETECT_THRESHOLD NUMERIC "8"
|
1112 |
|
|
// Retrieval info: CONSTANT: RX_USE_ALIGN_STATE_MACHINE STRING "true"
|
1113 |
|
|
// Retrieval info: CONSTANT: RX_USE_CLKOUT STRING "false"
|
1114 |
|
|
// Retrieval info: CONSTANT: RX_USE_CORECLK STRING "false"
|
1115 |
|
|
// Retrieval info: CONSTANT: RX_USE_DESERIALIZER_DOUBLE_DATA_MODE STRING "false"
|
1116 |
|
|
// Retrieval info: CONSTANT: RX_USE_DESKEW_FIFO STRING "false"
|
1117 |
|
|
// Retrieval info: CONSTANT: RX_USE_DOUBLE_DATA_MODE STRING "false"
|
1118 |
|
|
// Retrieval info: CONSTANT: RX_USE_RATE_MATCH_PATTERN1_ONLY STRING "false"
|
1119 |
|
|
// Retrieval info: CONSTANT: TRANSMITTER_TERMINATION STRING "oct_100_ohms"
|
1120 |
|
|
// Retrieval info: CONSTANT: TX_8B_10B_MODE STRING "normal"
|
1121 |
|
|
// Retrieval info: CONSTANT: TX_ALLOW_POLARITY_INVERSION STRING "false"
|
1122 |
|
|
// Retrieval info: CONSTANT: TX_CHANNEL_WIDTH NUMERIC "8"
|
1123 |
|
|
// Retrieval info: CONSTANT: TX_CLKOUT_WIDTH NUMERIC "1"
|
1124 |
|
|
// Retrieval info: CONSTANT: TX_COMMON_MODE STRING "0.65v"
|
1125 |
|
|
// Retrieval info: CONSTANT: TX_DATA_RATE NUMERIC "1250"
|
1126 |
|
|
// Retrieval info: CONSTANT: TX_DATA_RATE_REMAINDER NUMERIC "0"
|
1127 |
|
|
// Retrieval info: CONSTANT: TX_DIGITALRESET_PORT_WIDTH NUMERIC "1"
|
1128 |
|
|
// Retrieval info: CONSTANT: TX_ENABLE_BIT_REVERSAL STRING "false"
|
1129 |
|
|
// Retrieval info: CONSTANT: TX_ENABLE_SELF_TEST_MODE STRING "false"
|
1130 |
|
|
// Retrieval info: CONSTANT: TX_PLL_BANDWIDTH_TYPE STRING "Auto"
|
1131 |
|
|
// Retrieval info: CONSTANT: TX_PLL_INCLK0_PERIOD NUMERIC "8000"
|
1132 |
|
|
// Retrieval info: CONSTANT: TX_PLL_TYPE STRING "CMU"
|
1133 |
|
|
// Retrieval info: CONSTANT: TX_SLEW_RATE STRING "medium"
|
1134 |
|
|
// Retrieval info: CONSTANT: TX_TRANSMIT_PROTOCOL STRING "basic"
|
1135 |
|
|
// Retrieval info: CONSTANT: TX_USE_CORECLK STRING "false"
|
1136 |
|
|
// Retrieval info: CONSTANT: TX_USE_DOUBLE_DATA_MODE STRING "false"
|
1137 |
|
|
// Retrieval info: CONSTANT: TX_USE_SERIALIZER_DOUBLE_DATA_MODE STRING "false"
|
1138 |
|
|
// Retrieval info: CONSTANT: USE_CALIBRATION_BLOCK STRING "true"
|
1139 |
|
|
// Retrieval info: CONSTANT: VOD_CTRL_SETTING NUMERIC "4"
|
1140 |
12 |
jefflieu |
// Retrieval info: CONSTANT: equalization_setting NUMERIC "5"
|
1141 |
2 |
jefflieu |
// Retrieval info: CONSTANT: gxb_powerdown_width NUMERIC "1"
|
1142 |
|
|
// Retrieval info: CONSTANT: iqtxrxclk_allowed STRING ""
|
1143 |
|
|
// Retrieval info: CONSTANT: number_of_quads NUMERIC "1"
|
1144 |
|
|
// Retrieval info: CONSTANT: pll_divide_by STRING "1"
|
1145 |
|
|
// Retrieval info: CONSTANT: pll_multiply_by STRING "5"
|
1146 |
|
|
// Retrieval info: CONSTANT: reconfig_calibration STRING "true"
|
1147 |
|
|
// Retrieval info: CONSTANT: reconfig_fromgxb_port_width NUMERIC "5"
|
1148 |
|
|
// Retrieval info: CONSTANT: reconfig_pll_control_width NUMERIC "1"
|
1149 |
|
|
// Retrieval info: CONSTANT: reconfig_togxb_port_width NUMERIC "4"
|
1150 |
|
|
// Retrieval info: CONSTANT: rx_deskew_pattern STRING "0"
|
1151 |
|
|
// Retrieval info: CONSTANT: rx_dwidth_factor NUMERIC "1"
|
1152 |
|
|
// Retrieval info: CONSTANT: rx_enable_second_order_loop STRING "false"
|
1153 |
|
|
// Retrieval info: CONSTANT: rx_loop_1_digital_filter NUMERIC "8"
|
1154 |
|
|
// Retrieval info: CONSTANT: rx_signal_detect_loss_threshold STRING "1"
|
1155 |
|
|
// Retrieval info: CONSTANT: rx_signal_detect_valid_threshold STRING "14"
|
1156 |
|
|
// Retrieval info: CONSTANT: rx_use_external_termination STRING "false"
|
1157 |
|
|
// Retrieval info: CONSTANT: rx_word_aligner_num_byte NUMERIC "1"
|
1158 |
|
|
// Retrieval info: CONSTANT: top_module_name STRING "mAltGX"
|
1159 |
|
|
// Retrieval info: CONSTANT: tx_bitslip_enable STRING "FALSE"
|
1160 |
|
|
// Retrieval info: CONSTANT: tx_dwidth_factor NUMERIC "1"
|
1161 |
|
|
// Retrieval info: CONSTANT: tx_use_external_termination STRING "false"
|
1162 |
|
|
// Retrieval info: USED_PORT: cal_blk_clk 0 0 0 0 INPUT NODEFVAL "cal_blk_clk"
|
1163 |
|
|
// Retrieval info: USED_PORT: gxb_powerdown 0 0 1 0 INPUT NODEFVAL "gxb_powerdown[0..0]"
|
1164 |
|
|
// Retrieval info: USED_PORT: pll_inclk 0 0 1 0 INPUT NODEFVAL "pll_inclk[0..0]"
|
1165 |
|
|
// Retrieval info: USED_PORT: pll_locked 0 0 1 0 OUTPUT NODEFVAL "pll_locked[0..0]"
|
1166 |
|
|
// Retrieval info: USED_PORT: reconfig_clk 0 0 0 0 INPUT NODEFVAL "reconfig_clk"
|
1167 |
|
|
// Retrieval info: USED_PORT: reconfig_fromgxb 0 0 5 0 OUTPUT NODEFVAL "reconfig_fromgxb[4..0]"
|
1168 |
|
|
// Retrieval info: USED_PORT: reconfig_togxb 0 0 4 0 INPUT NODEFVAL "reconfig_togxb[3..0]"
|
1169 |
|
|
// Retrieval info: USED_PORT: rx_analogreset 0 0 1 0 INPUT NODEFVAL "rx_analogreset[0..0]"
|
1170 |
|
|
// Retrieval info: USED_PORT: rx_ctrldetect 0 0 1 0 OUTPUT NODEFVAL "rx_ctrldetect[0..0]"
|
1171 |
|
|
// Retrieval info: USED_PORT: rx_datain 0 0 1 0 INPUT NODEFVAL "rx_datain[0..0]"
|
1172 |
|
|
// Retrieval info: USED_PORT: rx_dataout 0 0 8 0 OUTPUT NODEFVAL "rx_dataout[7..0]"
|
1173 |
|
|
// Retrieval info: USED_PORT: rx_digitalreset 0 0 1 0 INPUT NODEFVAL "rx_digitalreset[0..0]"
|
1174 |
|
|
// Retrieval info: USED_PORT: rx_disperr 0 0 1 0 OUTPUT NODEFVAL "rx_disperr[0..0]"
|
1175 |
|
|
// Retrieval info: USED_PORT: rx_errdetect 0 0 1 0 OUTPUT NODEFVAL "rx_errdetect[0..0]"
|
1176 |
|
|
// Retrieval info: USED_PORT: rx_patterndetect 0 0 1 0 OUTPUT NODEFVAL "rx_patterndetect[0..0]"
|
1177 |
|
|
// Retrieval info: USED_PORT: rx_rlv 0 0 1 0 OUTPUT NODEFVAL "rx_rlv[0..0]"
|
1178 |
|
|
// Retrieval info: USED_PORT: rx_syncstatus 0 0 1 0 OUTPUT NODEFVAL "rx_syncstatus[0..0]"
|
1179 |
|
|
// Retrieval info: USED_PORT: tx_clkout 0 0 1 0 OUTPUT NODEFVAL "tx_clkout[0..0]"
|
1180 |
|
|
// Retrieval info: USED_PORT: tx_ctrlenable 0 0 1 0 INPUT NODEFVAL "tx_ctrlenable[0..0]"
|
1181 |
|
|
// Retrieval info: USED_PORT: tx_datain 0 0 8 0 INPUT NODEFVAL "tx_datain[7..0]"
|
1182 |
|
|
// Retrieval info: USED_PORT: tx_dataout 0 0 1 0 OUTPUT NODEFVAL "tx_dataout[0..0]"
|
1183 |
|
|
// Retrieval info: USED_PORT: tx_digitalreset 0 0 1 0 INPUT NODEFVAL "tx_digitalreset[0..0]"
|
1184 |
|
|
// Retrieval info: CONNECT: @cal_blk_clk 0 0 0 0 cal_blk_clk 0 0 0 0
|
1185 |
|
|
// Retrieval info: CONNECT: @gxb_powerdown 0 0 1 0 gxb_powerdown 0 0 1 0
|
1186 |
|
|
// Retrieval info: CONNECT: @pll_inclk 0 0 1 0 pll_inclk 0 0 1 0
|
1187 |
|
|
// Retrieval info: CONNECT: @reconfig_clk 0 0 0 0 reconfig_clk 0 0 0 0
|
1188 |
|
|
// Retrieval info: CONNECT: @reconfig_togxb 0 0 4 0 reconfig_togxb 0 0 4 0
|
1189 |
|
|
// Retrieval info: CONNECT: @rx_analogreset 0 0 1 0 rx_analogreset 0 0 1 0
|
1190 |
|
|
// Retrieval info: CONNECT: @rx_datain 0 0 1 0 rx_datain 0 0 1 0
|
1191 |
|
|
// Retrieval info: CONNECT: @rx_digitalreset 0 0 1 0 rx_digitalreset 0 0 1 0
|
1192 |
|
|
// Retrieval info: CONNECT: @tx_ctrlenable 0 0 1 0 tx_ctrlenable 0 0 1 0
|
1193 |
|
|
// Retrieval info: CONNECT: @tx_datain 0 0 8 0 tx_datain 0 0 8 0
|
1194 |
|
|
// Retrieval info: CONNECT: @tx_digitalreset 0 0 1 0 tx_digitalreset 0 0 1 0
|
1195 |
|
|
// Retrieval info: CONNECT: pll_locked 0 0 1 0 @pll_locked 0 0 1 0
|
1196 |
|
|
// Retrieval info: CONNECT: reconfig_fromgxb 0 0 5 0 @reconfig_fromgxb 0 0 5 0
|
1197 |
|
|
// Retrieval info: CONNECT: rx_ctrldetect 0 0 1 0 @rx_ctrldetect 0 0 1 0
|
1198 |
|
|
// Retrieval info: CONNECT: rx_dataout 0 0 8 0 @rx_dataout 0 0 8 0
|
1199 |
|
|
// Retrieval info: CONNECT: rx_disperr 0 0 1 0 @rx_disperr 0 0 1 0
|
1200 |
|
|
// Retrieval info: CONNECT: rx_errdetect 0 0 1 0 @rx_errdetect 0 0 1 0
|
1201 |
|
|
// Retrieval info: CONNECT: rx_patterndetect 0 0 1 0 @rx_patterndetect 0 0 1 0
|
1202 |
|
|
// Retrieval info: CONNECT: rx_rlv 0 0 1 0 @rx_rlv 0 0 1 0
|
1203 |
|
|
// Retrieval info: CONNECT: rx_syncstatus 0 0 1 0 @rx_syncstatus 0 0 1 0
|
1204 |
|
|
// Retrieval info: CONNECT: tx_clkout 0 0 1 0 @tx_clkout 0 0 1 0
|
1205 |
|
|
// Retrieval info: CONNECT: tx_dataout 0 0 1 0 @tx_dataout 0 0 1 0
|
1206 |
|
|
// Retrieval info: GEN_FILE: TYPE_NORMAL mAltGX.v TRUE
|
1207 |
|
|
// Retrieval info: GEN_FILE: TYPE_NORMAL mAltGX.ppf TRUE
|
1208 |
|
|
// Retrieval info: GEN_FILE: TYPE_NORMAL mAltGX.inc FALSE
|
1209 |
|
|
// Retrieval info: GEN_FILE: TYPE_NORMAL mAltGX.cmp FALSE
|
1210 |
|
|
// Retrieval info: GEN_FILE: TYPE_NORMAL mAltGX.bsf FALSE
|
1211 |
|
|
// Retrieval info: GEN_FILE: TYPE_NORMAL mAltGX_inst.v FALSE
|
1212 |
12 |
jefflieu |
// Retrieval info: GEN_FILE: TYPE_NORMAL mAltGX_bb.v TRUE
|
1213 |
2 |
jefflieu |
// Retrieval info: LIB_FILE: altera_mf
|
1214 |
|
|
// Retrieval info: LIB_FILE: cycloneiv_hssi
|
1215 |
|
|
// Retrieval info: CBX_MODULE_PREFIX: ON
|