OpenCores
URL https://opencores.org/ocsvn/sgmii/sgmii/trunk

Subversion Repositories sgmii

[/] [sgmii/] [trunk/] [src/] [mAltGX/] [mAltRateAdapter.v] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 jefflieu
// megafunction wizard: %FIFO%
2
// GENERATION: STANDARD
3
// VERSION: WM1.0
4
// MODULE: dcfifo 
5
 
6
// ============================================================
7
// File Name: mAltRateAdapter.v
8
// Megafunction Name(s):
9
//                      dcfifo
10
//
11
// Simulation Library Files(s):
12
//                      altera_mf
13
// ============================================================
14
// ************************************************************
15
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
//
17
// 12.0 Build 263 08/02/2012 SP 2 SJ Full Version
18
// ************************************************************
19
 
20
 
21
//Copyright (C) 1991-2012 Altera Corporation
22
//Your use of Altera Corporation's design tools, logic functions 
23
//and other software and tools, and its AMPP partner logic 
24
//functions, and any output files from any of the foregoing 
25
//(including device programming or simulation files), and any 
26
//associated documentation or information are expressly subject 
27
//to the terms and conditions of the Altera Program License 
28
//Subscription Agreement, Altera MegaCore Function License 
29
//Agreement, or other applicable license agreement, including, 
30
//without limitation, that your use is for the sole purpose of 
31
//programming logic devices manufactured by Altera and sold by 
32
//Altera or its authorized distributors.  Please refer to the 
33
//applicable agreement for further details.
34
 
35
 
36
// synopsys translate_off
37
`timescale 1 ps / 1 ps
38
// synopsys translate_on
39
module mAltRateAdapter (
40
        data,
41
        rdclk,
42
        rdreq,
43
        wrclk,
44
        wrreq,
45
        q,
46
        rdempty);
47
 
48
        input   [9:0]  data;
49
        input     rdclk;
50
        input     rdreq;
51
        input     wrclk;
52
        input     wrreq;
53
        output  [9:0]  q;
54
        output    rdempty;
55
 
56
        wire [9:0] sub_wire0;
57
        wire  sub_wire1;
58
        wire [9:0] q = sub_wire0[9:0];
59
        wire  rdempty = sub_wire1;
60
 
61
        dcfifo  dcfifo_component (
62
                                .data (data),
63
                                .rdclk (rdclk),
64
                                .rdreq (rdreq),
65
                                .wrclk (wrclk),
66
                                .wrreq (wrreq),
67
                                .q (sub_wire0),
68
                                .rdempty (sub_wire1),
69
                                .aclr (),
70
                                .rdfull (),
71
                                .rdusedw (),
72
                                .wrempty (),
73
                                .wrfull (),
74
                                .wrusedw ());
75
        defparam
76
                dcfifo_component.clocks_are_synchronized = "FALSE",
77
                dcfifo_component.intended_device_family = "Cyclone IV GX",
78
                dcfifo_component.lpm_numwords = 4,
79
                dcfifo_component.lpm_showahead = "OFF",
80
                dcfifo_component.lpm_type = "dcfifo",
81
                dcfifo_component.lpm_width = 10,
82
                dcfifo_component.lpm_widthu = 2,
83
                dcfifo_component.overflow_checking = "ON",
84
                dcfifo_component.rdsync_delaypipe = 3,
85
                dcfifo_component.underflow_checking = "ON",
86
                dcfifo_component.use_eab = "OFF",
87
                dcfifo_component.wrsync_delaypipe = 3;
88
 
89
 
90
endmodule
91
 
92
// ============================================================
93
// CNX file retrieval info
94
// ============================================================
95
// Retrieval info: PRIVATE: AlmostEmpty NUMERIC "0"
96
// Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "-1"
97
// Retrieval info: PRIVATE: AlmostFull NUMERIC "0"
98
// Retrieval info: PRIVATE: AlmostFullThr NUMERIC "-1"
99
// Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "0"
100
// Retrieval info: PRIVATE: Clock NUMERIC "4"
101
// Retrieval info: PRIVATE: Depth NUMERIC "4"
102
// Retrieval info: PRIVATE: Empty NUMERIC "1"
103
// Retrieval info: PRIVATE: Full NUMERIC "1"
104
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV GX"
105
// Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "1"
106
// Retrieval info: PRIVATE: LegacyRREQ NUMERIC "1"
107
// Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0"
108
// Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "0"
109
// Retrieval info: PRIVATE: Optimize NUMERIC "2"
110
// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
111
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
112
// Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "0"
113
// Retrieval info: PRIVATE: UsedW NUMERIC "1"
114
// Retrieval info: PRIVATE: Width NUMERIC "10"
115
// Retrieval info: PRIVATE: dc_aclr NUMERIC "0"
116
// Retrieval info: PRIVATE: diff_widths NUMERIC "0"
117
// Retrieval info: PRIVATE: msb_usedw NUMERIC "0"
118
// Retrieval info: PRIVATE: output_width NUMERIC "10"
119
// Retrieval info: PRIVATE: rsEmpty NUMERIC "1"
120
// Retrieval info: PRIVATE: rsFull NUMERIC "0"
121
// Retrieval info: PRIVATE: rsUsedW NUMERIC "0"
122
// Retrieval info: PRIVATE: sc_aclr NUMERIC "0"
123
// Retrieval info: PRIVATE: sc_sclr NUMERIC "0"
124
// Retrieval info: PRIVATE: wsEmpty NUMERIC "0"
125
// Retrieval info: PRIVATE: wsFull NUMERIC "0"
126
// Retrieval info: PRIVATE: wsUsedW NUMERIC "0"
127
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
128
// Retrieval info: CONSTANT: CLOCKS_ARE_SYNCHRONIZED STRING "FALSE"
129
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV GX"
130
// Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "4"
131
// Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "OFF"
132
// Retrieval info: CONSTANT: LPM_TYPE STRING "dcfifo"
133
// Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "10"
134
// Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "2"
135
// Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "ON"
136
// Retrieval info: CONSTANT: RDSYNC_DELAYPIPE NUMERIC "3"
137
// Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "ON"
138
// Retrieval info: CONSTANT: USE_EAB STRING "OFF"
139
// Retrieval info: CONSTANT: WRSYNC_DELAYPIPE NUMERIC "3"
140
// Retrieval info: USED_PORT: data 0 0 10 0 INPUT NODEFVAL "data[9..0]"
141
// Retrieval info: USED_PORT: q 0 0 10 0 OUTPUT NODEFVAL "q[9..0]"
142
// Retrieval info: USED_PORT: rdclk 0 0 0 0 INPUT NODEFVAL "rdclk"
143
// Retrieval info: USED_PORT: rdempty 0 0 0 0 OUTPUT NODEFVAL "rdempty"
144
// Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL "rdreq"
145
// Retrieval info: USED_PORT: wrclk 0 0 0 0 INPUT NODEFVAL "wrclk"
146
// Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL "wrreq"
147
// Retrieval info: CONNECT: @data 0 0 10 0 data 0 0 10 0
148
// Retrieval info: CONNECT: @rdclk 0 0 0 0 rdclk 0 0 0 0
149
// Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0
150
// Retrieval info: CONNECT: @wrclk 0 0 0 0 wrclk 0 0 0 0
151
// Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0
152
// Retrieval info: CONNECT: q 0 0 10 0 @q 0 0 10 0
153
// Retrieval info: CONNECT: rdempty 0 0 0 0 @rdempty 0 0 0 0
154
// Retrieval info: GEN_FILE: TYPE_NORMAL mAltRateAdapter.v TRUE
155
// Retrieval info: GEN_FILE: TYPE_NORMAL mAltRateAdapter.inc FALSE
156
// Retrieval info: GEN_FILE: TYPE_NORMAL mAltRateAdapter.cmp FALSE
157
// Retrieval info: GEN_FILE: TYPE_NORMAL mAltRateAdapter.bsf FALSE
158
// Retrieval info: GEN_FILE: TYPE_NORMAL mAltRateAdapter_inst.v FALSE
159
// Retrieval info: GEN_FILE: TYPE_NORMAL mAltRateAdapter_bb.v TRUE
160
// Retrieval info: LIB_FILE: altera_mf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.