OpenCores
URL https://opencores.org/ocsvn/sgmii/sgmii/trunk

Subversion Repositories sgmii

[/] [sgmii/] [trunk/] [src/] [mAltGX/] [run_modelsim.log] - Blame information for rev 15

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 jefflieu
# vsim +nowarnTSCALE +nowarnTFMPC +nowarnTOFD -L lpm_ver -L altera_mf_ver -L sgate_ver -L mAlt8b10bdec -do {run -all; quit} -l run_modelsim.log -c tb
2
# Loading work.tb
3
# Loading mAlt8b10bdec.mAlt8b10bdec
4
# Loading sgate_ver.oper_add
5
# Loading lpm_ver.lpm_add_sub
6
# Loading sgate_ver.oper_less_than
7
# Loading sgate_ver.oper_mux
8
# run -all
9
# ***********************************************************
10
# title:  tb.demo_dec
11
# desc:   demonstration testbench
12
#         (c) Altera Inc. ALL RIGHTS RESERVED
13
#         www.altera.com
14
# ***********************************************************
15
# PURPOSE: Demonstrate basic function and provide hookup example.
16
# PURPOSE: Note: no error checking is performed.
17
# METHOD:  A generator emits several random data and control values.
18
# ***********************************************************
19
# 10000 dec_enable = 1 dec_datain = xxx, dec_kout = 0, dec_dataout = 00
20
# 30000 dec_enable = 1 dec_datain = 0b9, dec_kout = 0, dec_dataout = 00
21
# 50000 dec_enable = 1 dec_datain = 0b9, dec_kout = 0, dec_dataout = 00
22
# 70000 dec_enable = 1 dec_datain = 17c, dec_kout = 0, dec_dataout = 00
23
# 90000 dec_enable = 1 dec_datain = 283, dec_kout = 0, dec_dataout = 00
24
# 110000 dec_enable = 1 dec_datain = 17c, dec_kout = 0, dec_dataout = 00
25
# 130000 dec_enable = 1 dec_datain = 283, dec_kout = 0, dec_dataout = 00
26
# 150000 dec_enable = 1 dec_datain = 17c, dec_kout = 0, dec_dataout = 00
27
# 170000 dec_enable = 1 dec_datain = 283, dec_kout = 0, dec_dataout = 00
28
# 190000 dec_enable = 1 dec_datain = 17c, dec_kout = 0, dec_dataout = 00
29
# 210000 dec_enable = 1 dec_datain = 283, dec_kout = 0, dec_dataout = 00
30
# 230000 dec_enable = 1 dec_datain = 17c, dec_kout = 0, dec_dataout = 00
31
# 250000 dec_enable = 1 dec_datain = 283, dec_kout = 1, dec_dataout = bc
32
# 270000 dec_enable = 1 dec_datain = 17c, dec_kout = 1, dec_dataout = bc
33
# 290000 dec_enable = 1 dec_datain = 283, dec_kout = 1, dec_dataout = bc
34
# 310000 dec_enable = 1 dec_datain = 17c, dec_kout = 1, dec_dataout = bc
35
# 330000 dec_enable = 1 dec_datain = 283, dec_kout = 1, dec_dataout = bc
36
# 350000 dec_enable = 1 dec_datain = 17c, dec_kout = 1, dec_dataout = bc
37
# 370000 dec_enable = 1 dec_datain = 283, dec_kout = 1, dec_dataout = bc
38
# 390000 dec_enable = 1 dec_datain = 17c, dec_kout = 1, dec_dataout = bc
39
# 410000 dec_enable = 1 dec_datain = 283, dec_kout = 1, dec_dataout = bc
40
# 430000 dec_enable = 1 dec_datain = 17c, dec_kout = 1, dec_dataout = bc
41
# 450000 dec_enable = 1 dec_datain = 283, dec_kout = 1, dec_dataout = bc
42
# 470000 dec_enable = 1 dec_datain = 17c, dec_kout = 1, dec_dataout = bc
43
# 490000 dec_enable = 1 dec_datain = 358, dec_kout = 1, dec_dataout = bc
44
# 510000 dec_enable = 1 dec_datain = 2d2, dec_kout = 1, dec_dataout = bc
45
# 530000 dec_enable = 1 dec_datain = 172, dec_kout = 0, dec_dataout = 08
46
# 550000 dec_enable = 1 dec_datain = 171, dec_kout = 0, dec_dataout = 82
47
# 570000 dec_enable = 1 dec_datain = 192, dec_kout = 0, dec_dataout = b2
48
# 590000 dec_enable = 1 dec_datain = 0ea, dec_kout = 0, dec_dataout = b1
49
# 610000 dec_enable = 1 dec_datain = 335, dec_kout = 0, dec_dataout = c2
50
# 630000 dec_enable = 1 dec_datain = 113, dec_kout = 0, dec_dataout = 6a
51
# 650000 dec_enable = 1 dec_datain = 36a, dec_kout = 0, dec_dataout = 7f
52
# 670000 dec_enable = 1 dec_datain = 30e, dec_kout = 0, dec_dataout = 93
53
# 690000 dec_enable = 1 dec_datain = 34c, dec_kout = 0, dec_dataout = 0a
54
# 710000 dec_enable = 1 dec_datain = 2c3, dec_kout = 0, dec_dataout = 6e
55
# 730000 dec_enable = 1 dec_datain = 21c, dec_kout = 0, dec_dataout = 18
56
# 750000 dec_enable = 1 dec_datain = 365, dec_kout = 1, dec_dataout = 9c
57
# 770000 dec_enable = 1 dec_datain = 26c, dec_kout = 0, dec_dataout = fc
58
# 790000 dec_enable = 1 dec_datain = 2e4, dec_kout = 0, dec_dataout = 05
59
# 810000 dec_enable = 1 dec_datain = 1d2, dec_kout = 0, dec_dataout = 2c
60
# 830000 dec_enable = 1 dec_datain = 1e1, dec_kout = 0, dec_dataout = 9b
61
# 850000 dec_enable = 1 dec_datain = 08b, dec_kout = 0, dec_dataout = e2
62
# 870000 dec_enable = 1 dec_datain = 18d, dec_kout = 0, dec_dataout = fe
63
# 890000 dec_enable = 1 dec_datain = 267, dec_kout = 0, dec_dataout = 0b
64
# 910000 dec_enable = 1 dec_datain = 313, dec_kout = 0, dec_dataout = cd
65
# 930000 dec_enable = 1 dec_datain = 0a9, dec_kout = 0, dec_dataout = 28
66
# 950000 dec_enable = 1 dec_datain = 1b2, dec_kout = 0, dec_dataout = 73
67
# 970000 dec_enable = 1 dec_datain = 2d3, dec_kout = 0, dec_dataout = 09
68
# 990000 dec_enable = 1 dec_datain = 272, dec_kout = 0, dec_dataout = d2
69
# 1010000 dec_enable = 1 dec_datain = 283, dec_kout = 0, dec_dataout = 93
70
# 1030000 dec_enable = 1 dec_datain = 1e9, dec_kout = 0, dec_dataout = 32
71
# 1050000 dec_enable = 1 dec_datain = 22a, dec_kout = 1, dec_dataout = bc
72
# 1070000 dec_enable = 1 dec_datain = 295, dec_kout = 0, dec_dataout = e9
73
# 1090000 dec_enable = 1 dec_datain = 19e, dec_kout = 0, dec_dataout = ea
74
# 1110000 dec_enable = 1 dec_datain = 1a4, dec_kout = 0, dec_dataout = 55
75
# 1130000 dec_enable = 1 dec_datain = 057, dec_kout = 0, dec_dataout = de
76
# 1150000 dec_enable = 1 dec_datain = 26b, dec_kout = 0, dec_dataout = db
77
# 1170000 dec_enable = 1 dec_datain = 0b1, dec_kout = 1, dec_dataout = f7
78
# 1190000 dec_enable = 1 dec_datain = 0c7, dec_kout = 0, dec_dataout = 24
79
# 1210000 dec_enable = 1 dec_datain = 2d6, dec_kout = 0, dec_dataout = 11
80
# 1230000 dec_enable = 1 dec_datain = 2b2, dec_kout = 0, dec_dataout = 67
81
# 1250000 dec_enable = 1 dec_datain = 2b8, dec_kout = 0, dec_dataout = 96
82
# 1270000 dec_enable = 1 dec_datain = 292, dec_kout = 0, dec_dataout = 52
83
# 1290000 dec_enable = 1 dec_datain = 1bc, dec_kout = 0, dec_dataout = 47
84
# 1310000 dec_enable = 1 dec_datain = 21a, dec_kout = 0, dec_dataout = 42
85
# 1330000 dec_enable = 1 dec_datain = 1dc, dec_kout = 1, dec_dataout = dc
86
# 1350000 dec_enable = 1 dec_datain = 22c, dec_kout = 0, dec_dataout = fa
87
# 1370000 dec_enable = 1 dec_datain = 097, dec_kout = 0, dec_dataout = fc
88
# 1390000 dec_enable = 1 dec_datain = 1e3, dec_kout = 0, dec_dataout = ec
89
# 1410000 dec_enable = 1 dec_datain = 3a1, dec_kout = 0, dec_dataout = 17
90
# 1430000 dec_enable = 1 dec_datain = 3a2, dec_kout = 0, dec_dataout = e3
91
# 1450000 dec_enable = 1 dec_datain = 2a2, dec_kout = 1, dec_dataout = fe
92
# 1470000 dec_enable = 1 dec_datain = 1b2, dec_kout = 1, dec_dataout = fd
93
# 1490000 dec_enable = 1 dec_datain = 0e6, dec_kout = 0, dec_dataout = 5d
94
# 1510000 dec_enable = 1 dec_datain = 22e, dec_kout = 0, dec_dataout = d2
95
# 1530000 dec_enable = 1 dec_datain = 296, dec_kout = 0, dec_dataout = 66
96
# 1550000 dec_enable = 1 dec_datain = 296, dec_kout = 0, dec_dataout = e1
97
# 1570000 dec_enable = 1 dec_datain = 07c, dec_kout = 0, dec_dataout = 56
98
# 1590000 dec_enable = 1 dec_datain = 24b, dec_kout = 0, dec_dataout = 56
99
# 1610000 dec_enable = 1 dec_datain = 139, dec_kout = 1, dec_dataout = fc
100
# 1630000 dec_enable = 1 dec_datain = 33c, dec_kout = 0, dec_dataout = 2b
101
# 1650000 dec_enable = 1 dec_datain = 31c, dec_kout = 0, dec_dataout = 80
102
# 1670000 dec_enable = 1 dec_datain = 178, dec_kout = 1, dec_dataout = 7c
103
# 1690000 dec_enable = 1 dec_datain = 04d, dec_kout = 0, dec_dataout = 7c
104
# 1710000 dec_enable = 1 dec_datain = 1a9, dec_kout = 0, dec_dataout = a7
105
# 1730000 dec_enable = 1 dec_datain = 25a, dec_kout = 0, dec_dataout = ed
106
# 1750000 dec_enable = 1 dec_datain = 23c, dec_kout = 0, dec_dataout = c9
107
# 1770000 dec_enable = 1 dec_datain = 179, dec_kout = 0, dec_dataout = 3a
108
# 1790000 dec_enable = 1 dec_datain = 0b2, dec_kout = 1, dec_dataout = fc
109
# 1810000 dec_enable = 1 dec_datain = 1cb, dec_kout = 0, dec_dataout = a0
110
# 1830000 dec_enable = 1 dec_datain = 131, dec_kout = 0, dec_dataout = 12
111
# 1850000 dec_enable = 1 dec_datain = 2cd, dec_kout = 0, dec_dataout = eb
112
# 1870000 dec_enable = 1 dec_datain = 0ac, dec_kout = 0, dec_dataout = 91
113
# 1890000 dec_enable = 1 dec_datain = 359, dec_kout = 0, dec_dataout = 8d
114
# 1910000 dec_enable = 1 dec_datain = 349, dec_kout = 0, dec_dataout = 0c
115
# 1930000 dec_enable = 1 dec_datain = 171, dec_kout = 0, dec_dataout = 19
116
# 1950000 dec_enable = 1 dec_datain = 32c, dec_kout = 0, dec_dataout = 10
117
# 1970000 dec_enable = 1 dec_datain = 143, dec_kout = 0, dec_dataout = b1
118
# 1990000 dec_enable = 1 dec_datain = 0e5, dec_kout = 0, dec_dataout = 6c
119
# 2010000 dec_enable = 1 dec_datain = 139, dec_kout = 1, dec_dataout = 5c
120
# 2030000 dec_enable = 1 dec_datain = 2cb, dec_kout = 0, dec_dataout = 65
121
# 2050000 dec_enable = 1 dec_datain = 249, dec_kout = 0, dec_dataout = 80
122
# 2070000 dec_enable = 1 dec_datain = 17c, dec_kout = 0, dec_dataout = 8b
123
# 2090000 dec_enable = 1 dec_datain = 283, dec_kout = 0, dec_dataout = 30
124
# 2110000 dec_enable = 1 dec_datain = 17c, dec_kout = 1, dec_dataout = bc
125
# 2130000 dec_enable = 1 dec_datain = 283, dec_kout = 1, dec_dataout = bc
126
# 2150000 dec_enable = 1 dec_datain = 2d6, dec_kout = 1, dec_dataout = bc
127
# 2170000 dec_enable = 1 dec_datain = 095, dec_kout = 1, dec_dataout = bc
128
# 2190000 dec_enable = 1 dec_datain = 0dc, dec_kout = 0, dec_dataout = 96
129
# 2210000 dec_enable = 1 dec_datain = 05b, dec_kout = 0, dec_dataout = 15
130
# 2230000 dec_enable = 1 dec_datain = 1ad, dec_kout = 0, dec_dataout = 7c
131
# 2250000 dec_enable = 1 dec_datain = 14e, dec_kout = 1, dec_dataout = fb
132
# 2270000 dec_enable = 1 dec_datain = 11c, dec_kout = 0, dec_dataout = c2
133
# 2290000 dec_enable = 1 dec_datain = 353, dec_kout = 0, dec_dataout = ae
134
# 2310000 dec_enable = 1 dec_datain = 346, dec_kout = 0, dec_dataout = 9c
135
# 2330000 dec_enable = 1 dec_datain = 183, dec_kout = 0, dec_dataout = 13
136
# 2350000 dec_enable = 1 dec_datain = 297, dec_kout = 0, dec_dataout = 00
137
# 2370000 dec_enable = 1 dec_datain = 285, dec_kout = 1, dec_dataout = 3c
138
# 2390000 dec_enable = 1 dec_datain = 0b5, dec_kout = 0, dec_dataout = 57
139
# 2410000 dec_enable = 1 dec_datain = 157, dec_kout = 0, dec_dataout = 4f
140
# 2430000 dec_enable = 1 dec_datain = 313, dec_kout = 0, dec_dataout = 1f
141
# 2450000 dec_enable = 1 dec_datain = 191, dec_kout = 0, dec_dataout = b7
142
# 2470000 dec_enable = 1 dec_datain = 14d, dec_kout = 0, dec_dataout = 73
143
# 2490000 dec_enable = 1 dec_datain = 1ea, dec_kout = 0, dec_dataout = c1
144
# 2510000 dec_enable = 1 dec_datain = 12c, dec_kout = 0, dec_dataout = ad
145
# 2530000 dec_enable = 1 dec_datain = 29a, dec_kout = 0, dec_dataout = ea
146
# 2550000 dec_enable = 1 dec_datain = 0f1, dec_kout = 0, dec_dataout = 8c
147
# 2570000 dec_enable = 1 dec_datain = 0cd, dec_kout = 0, dec_dataout = 5a
148
# 2590000 dec_enable = 1 dec_datain = 0bc, dec_kout = 0, dec_dataout = 71
149
# 2610000 dec_enable = 1 dec_datain = 2ad, dec_kout = 0, dec_dataout = 6d
150
# 2630000 dec_enable = 1 dec_datain = 278, dec_kout = 1, dec_dataout = 1c
151
# 2650000 dec_enable = 1 dec_datain = 2a8, dec_kout = 0, dec_dataout = 42
152
# 2670000 dec_enable = 1 dec_datain = 17c, dec_kout = 0, dec_dataout = 27
153
# 2690000 dec_enable = 1 dec_datain = 283, dec_kout = 0, dec_dataout = 57
154
# 2710000 dec_enable = 1 dec_datain = 17c, dec_kout = 1, dec_dataout = bc
155
# 2730000 dec_enable = 1 dec_datain = 283, dec_kout = 1, dec_dataout = bc
156
# 2750000 dec_enable = 1 dec_datain = 28d, dec_kout = 1, dec_dataout = bc
157
# 2770000 dec_enable = 1 dec_datain = 2a6, dec_kout = 1, dec_dataout = bc
158
# 2790000 dec_enable = 1 dec_datain = 266, dec_kout = 0, dec_dataout = 4d
159
# 2810000 dec_enable = 1 dec_datain = 14e, dec_kout = 0, dec_dataout = 46
160
# 2830000 dec_enable = 1 dec_datain = 2dc, dec_kout = 0, dec_dataout = 26
161
# 2850000 dec_enable = 1 dec_datain = 093, dec_kout = 0, dec_dataout = ae
162
# 2870000 dec_enable = 1 dec_datain = 0b9, dec_kout = 0, dec_dataout = 9c
163
# 2890000 dec_enable = 1 dec_datain = 27c, dec_kout = 0, dec_dataout = 13
164
# $$$ Exit status for testbench tb.demo_dec :  TESTBENCH_PASSED
165
# ** Note: $finish    : D:/JEFF/OpenCores/SGMII/trunk/src/mAltGX/mAlt8b10bdec_tb.v(211)
166
#    Time: 2910 ns  Iteration: 1  Instance: /tb

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.