OpenCores
URL https://opencores.org/ocsvn/sha256_hash_core/sha256_hash_core/trunk

Subversion Repositories sha256_hash_core

[/] [sha256_hash_core/] [trunk/] [syn/] [sha256/] [sha256.wcfg] - Blame information for rev 9

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jdoin
2
3
   
4
   
5
   
6 6 jdoin
      
7 2 jdoin
         
8
            
9
            
10
            
11
         
12
      
13
   
14 9 jdoin
   
15 2 jdoin
   
16
      test_case
17
      test_case
18
   
19
   
20
      pclk
21
      pclk
22
   
23 9 jdoin
   
24
      hash_control_st_reg
25
      hash_control_st_reg
26
   
27 2 jdoin
   
28
      hash_control_st_next
29
      hash_control_st_next
30
   
31
   
32
      dut_ce
33
      dut_ce
34
   
35
   
36
      dut_start
37
      dut_start
38
   
39
   
40
      dut_end
41
      dut_end
42
   
43 9 jdoin
   
44
      di_wr_window
45
      di_wr_window
46
   
47 2 jdoin
   
48
      dut_di_req
49
      dut_di_req
50
   
51 9 jdoin
   
52
      dut_di_wr
53
      dut_di_wr
54 2 jdoin
   
55
   
56
      dut_error
57
      dut_error
58
   
59
   
60
      dut_do_valid
61
      dut_do_valid
62
   
63
   
64
      error_i
65
      error_i
66
   
67
   
68
      core_error
69
      core_error
70
   
71
   
72
      bytes_error_reg
73
      bytes_error_reg
74
   
75
   
76
      bytes_error_next
77
      bytes_error_next
78
   
79 9 jdoin
   
80
      dut_di[31:0]
81
      dut_di[31:0]
82
   
83
   
84
      dut_bytes[1:0]
85
      dut_bytes[1:0]
86
   
87 2 jdoin
   
88
      st_cnt_reg[6:0]
89
      st_cnt_reg[6:0]
90
   
91
   
92
      bitlen_o[63:0]
93
      bitlen_o[63:0]
94
   
95 9 jdoin
   
96
      sha_reset
97
      sha_reset
98
   
99
   
100
      sha_init
101
      sha_init
102
   
103
   
104
      sch_ld_o
105
      sch_ld_o
106
   
107
   
108
      core_ld_o
109
      core_ld_o
110
   
111
   
112
      oregs_ld_o
113
      oregs_ld_o
114
   
115
   
116
      sch_ce_o
117
      sch_ce_o
118
   
119
   
120
      core_ce_o
121
      core_ce_o
122
   
123
   
124
      oregs_ce_o
125
      oregs_ce_o
126
   
127
   
128
      one_insert_o
129
      one_insert_o
130
   
131
   
132
      sha_last_blk_reg
133
      sha_last_blk_reg
134
   
135
   
136
      sha_last_blk_next
137
      sha_last_blk_next
138
   
139 2 jdoin
   
140
      dut_h0[31:0]
141
      dut_h0[31:0]
142
   
143
   
144
      dut_h1[31:0]
145
      dut_h1[31:0]
146
   
147
   
148
      dut_h2[31:0]
149
      dut_h2[31:0]
150
   
151
   
152
      dut_h3[31:0]
153
      dut_h3[31:0]
154
   
155
   
156
      dut_h4[31:0]
157
      dut_h4[31:0]
158
   
159
   
160
      dut_h5[31:0]
161
      dut_h5[31:0]
162
   
163
   
164
      dut_h6[31:0]
165
      dut_h6[31:0]
166
   
167
   
168
      dut_h7[31:0]
169
      dut_h7[31:0]
170
   
171

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.