OpenCores
URL https://opencores.org/ocsvn/sha256_hash_core/sha256_hash_core/trunk

Subversion Repositories sha256_hash_core

[/] [sha256_hash_core/] [trunk/] [syn/] [sha256/] [sha256_Ki_rom.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jdoin
-----------------------------------------------------------------------------------------------------------------------
2
-- Author:          Jonny Doin, jdoin@opencores.org, jonnydoin@gmail.com
3
-- 
4
-- Create Date:     09:56:30 07/06/2011  
5
-- Module Name:     sha256_Ki_rom
6
-- Project Name:    sha256 processor
7
-- Target Devices:  Spartan-6
8
-- Tool versions:   ISE 14.7
9
-- Description: 
10
--
11
--      Initial values for the hash result registers.
12
--      This module is modelled as a fixed value function.
13
--      It can be implemented as a local constant fixed value.
14
--
15
------------------------------ COPYRIGHT NOTICE -----------------------------------------------------------------------
16
--                                                                   
17
--                                                                   
18
--      Author(s):      Jonny Doin, jonnydoin@gridvortex.com, jonnydoin@gmail.com
19
--                                                                   
20
--      Copyright (C) 2016 GridVortex, All Rights Reserved
21
--      --------------------------------------------------
22
--                                                                   
23
------------------------------ REVISION HISTORY -----------------------------------------------------------------------
24
--
25
-- 2016/05/22   v0.01.0010  [JD]    started development. design of blocks and port interfaces.
26
-- 2016/06/05   v0.01.0090  [JD]    all modules integrated. testbench for basic test vectors verification.
27
-- 2016/06/05   v0.01.0095  [JD]    verification failed. misalignment of words in the datapath. 
28
-- 2016/06/06   v0.01.0100  [JD]    first simulation verification against NIST-FIPS-180-4 test vectors passed.
29
--
30
-----------------------------------------------------------------------------------------------------------------------
31
--  TODO
32
--  ====
33
--
34
--
35
-----------------------------------------------------------------------------------------------------------------------
36
library ieee;
37
use ieee.std_logic_1164.all;
38
use ieee.numeric_std.all;
39
 
40
entity sha256_ki_rom is
41
    port (
42
        K0_o : out std_logic_vector (31 downto 0) := (others => 'X');
43
        K1_o : out std_logic_vector (31 downto 0) := (others => 'X');
44
        K2_o : out std_logic_vector (31 downto 0) := (others => 'X');
45
        K3_o : out std_logic_vector (31 downto 0) := (others => 'X');
46
        K4_o : out std_logic_vector (31 downto 0) := (others => 'X');
47
        K5_o : out std_logic_vector (31 downto 0) := (others => 'X');
48
        K6_o : out std_logic_vector (31 downto 0) := (others => 'X');
49
        K7_o : out std_logic_vector (31 downto 0) := (others => 'X')
50
    );
51
end sha256_ki_rom;
52
 
53
architecture behavioral of sha256_ki_rom is
54
begin
55
    --=============================================================================================
56
    -- CONSTANTS FOR Ki VALUES
57
    --=============================================================================================
58
    K0_o_proc:      K0_o <= x"6A09E667";
59
    K1_o_proc:      K1_o <= x"BB67AE85";
60
    K2_o_proc:      K2_o <= x"3C6EF372";
61
    K3_o_proc:      K3_o <= x"A54FF53A";
62
    K4_o_proc:      K4_o <= x"510E527F";
63
    K5_o_proc:      K5_o <= x"9B05688C";
64
    K6_o_proc:      K6_o <= x"1F83D9AB";
65
    K7_o_proc:      K7_o <= x"5BE0CD19";
66
 
67
end behavioral;
68
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.