OpenCores
URL https://opencores.org/ocsvn/sha_core/sha_core/trunk

Subversion Repositories sha_core

[/] [sha_core/] [trunk/] [bench/] [test_sha512.v] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 marsgod
/////////////////////////////////////////////////////////////////////
2
////                                                             ////
3
////  SHA-512/384                                                ////
4
////  Secure Hash Algorithm (SHA-512/384)   testbench            ////
5
////                                                             ////
6
////  Author: marsgod                                            ////
7
////          marsgod@opencores.org                              ////
8
////                                                             ////
9
////                                                             ////
10
////  Downloaded from: http://www.opencores.org/cores/sha_core/  ////
11
////                                                             ////
12
/////////////////////////////////////////////////////////////////////
13
////                                                             ////
14
//// Copyright (C) 2002-2004 marsgod                             ////
15
////                         marsgod@opencores.org               ////
16
////                                                             ////
17
////                                                             ////
18
//// This source file may be used and distributed without        ////
19
//// restriction provided that this copyright statement is not   ////
20
//// removed from the file and that any derivative work contains ////
21
//// the original copyright notice and the associated disclaimer.////
22
////                                                             ////
23
////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
24
//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
25
//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
26
//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
27
//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
28
//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
29
//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
30
//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
31
//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
32
//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
33
//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
34
//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
35
//// POSSIBILITY OF SUCH DAMAGE.                                 ////
36
////                                                             ////
37
/////////////////////////////////////////////////////////////////////
38
 
39
 
40
`timescale 1ns/10ps
41
 
42
`define SHA384_TEST             "abcdefghbcdefghicdefghijdefghijkefghijklfghijklmghijklmnhijklmnoijklmnopjklmnopqklmnopqrlmnopqrsmnopqrstnopqrstu"
43
`define SHA384_TEST_PADDING     {1'b1,127'b0,896'b0,128'd896}   // 896 bit
44
`define SHA384_TEST_RESULT      384'h09330c33_f71147e8_3d192fc7_82cd1b47_53111b17_3b3b05d2_2fa08086_e3b0f712_fcc7c71a_557e2db9_66c3e9fa_91746039
45
 
46
`define SHA512_TEST             "abcdefghbcdefghicdefghijdefghijkefghijklfghijklmghijklmnhijklmnoijklmnopjklmnopqklmnopqrlmnopqrsmnopqrstnopqrstu"
47
`define SHA512_TEST_PADDING     {1'b1,127'b0,896'b0,128'd896}   // 896 bit
48
`define SHA512_TEST_RESULT      512'h8e959b75_dae313da_8cf4f728_14fc143f_8f7779c6_eb9f7fa1_7299aead_b6889018_501d289e_4900f7e4_331b99de_c4b5433a_c7d329ee_b6dd2654_5e96e55b_874be909
49
 
50
 
51
module test_sha;
52
 
53
reg clk,rst,cmd_w_i;
54
reg [31:0] text_i;
55
 
56
reg [3:0] cmd_i;
57
 
58
wire [31:0] text_o;
59
wire [4:0] cmd_o;
60
 
61
initial
62
begin
63
//      $sdf_annotate("syn/data/sha512.sdf",sha_core);
64
 
65
        clk = 1'b0;
66
        rst = 1'b0;
67
        cmd_w_i = 1'b0;
68
        cmd_i = 4'b0;
69
 
70
        #21;
71
        rst = 1'b1;
72
        #17;
73
        rst = 1'b0;
74
 
75
        test_SHA384;
76
        test_SHA512;
77
        @(posedge clk);
78
        @(posedge clk);
79
        @(posedge clk);
80
        @(posedge clk);
81
        @(posedge clk);
82
 
83
        $finish;
84
end
85
 
86
 
87
always #5 clk = ~clk;
88
 
89
sha512 sha_core(
90
        .clk_i(clk),
91
        .rst_i(rst),
92
        .text_i(text_i),
93
        .text_o(text_o),
94
        .cmd_i(cmd_i),
95
        .cmd_w_i(cmd_w_i),
96
        .cmd_o(cmd_o)
97
        );
98
 
99
task test_SHA384;
100
integer i;
101
reg [2047:0] all_message;
102
reg [1023:0] tmp_i;
103
reg [383:0] tmp_o;
104
reg [31:0] tmp;
105
begin
106
        all_message = {`SHA384_TEST,`SHA384_TEST_PADDING};
107
        tmp_i = all_message[2047:1024];
108
        tmp_o = `SHA384_TEST_RESULT;
109
 
110
        #100;
111
 
112
 
113
        @(posedge clk);
114
        cmd_i = 4'b0010;
115
        cmd_w_i = 1'b1;
116
 
117
        for (i=0;i<32;i=i+1)
118
        begin
119
                @(posedge clk);
120
                cmd_w_i = 1'b0;
121
                text_i = tmp_i[32*32-1:31*32];
122
                tmp_i = tmp_i << 32;
123
        end
124
 
125
 
126
        @(posedge clk);
127
        @(posedge clk);
128
        @(posedge clk);
129
        @(posedge clk);
130
        @(posedge clk);
131
 
132
        while (cmd_o[4])
133
                @(posedge clk);
134
 
135
        @(posedge clk);
136
        @(posedge clk);
137
        @(posedge clk);
138
        @(posedge clk);
139
        @(posedge clk);
140
 
141
        #100;
142
 
143
 
144
        tmp_i = all_message[1023:0];
145
        @(posedge clk);
146
        cmd_i = 4'b0110;
147
        cmd_w_i = 1'b1;
148
 
149
        for (i=0;i<32;i=i+1)
150
        begin
151
                @(posedge clk);
152
                cmd_w_i = 1'b0;
153
                text_i = tmp_i[32*32-1:31*32];
154
                tmp_i = tmp_i << 32;
155
        end
156
 
157
 
158
        @(posedge clk);
159
        @(posedge clk);
160
        @(posedge clk);
161
        @(posedge clk);
162
        @(posedge clk);
163
 
164
        while (cmd_o[4])
165
                @(posedge clk);
166
 
167
        @(posedge clk);
168
        @(posedge clk);
169
        @(posedge clk);
170
        @(posedge clk);
171
        @(posedge clk);
172
 
173
        cmd_i = 4'b0001;
174
        cmd_w_i = 1'b1;
175
 
176
        @(posedge clk);
177
        cmd_w_i = 1'b0;
178
        for (i=0;i<12;i=i+1)
179
        begin
180
                @(posedge clk);
181
                #1;
182
                tmp = tmp_o[12*32-1:11*32];
183
                if (text_o !== tmp | (|text_o)===1'bx)
184
                begin
185
                        $display("ERROR(SHA-384-%02d) Expected %x, Got %x", i,tmp, text_o);
186
                end
187
                else
188
                begin
189
                        $display("OK(SHA-384-%02d),Expected %x, Got %x", i,tmp, text_o);
190
                end
191
                tmp_o = tmp_o << 32;
192
        end
193
        @(posedge clk);
194
        @(posedge clk);
195
        @(posedge clk);
196
        @(posedge clk);
197
        @(posedge clk);
198
        #100;
199
end
200
endtask
201
 
202
task test_SHA512;
203
integer i;
204
reg [2047:0] all_message;
205
reg [1023:0] tmp_i;
206
reg [511:0] tmp_o;
207
reg [31:0] tmp;
208
begin
209
        all_message = {`SHA512_TEST,`SHA512_TEST_PADDING};
210
        tmp_i = all_message[2047:1024];
211
        tmp_o = `SHA512_TEST_RESULT;
212
 
213
        #100;
214
 
215
 
216
        @(posedge clk);
217
        cmd_i = 4'b1010;
218
        cmd_w_i = 1'b1;
219
 
220
        for (i=0;i<32;i=i+1)
221
        begin
222
                @(posedge clk);
223
                cmd_w_i = 1'b0;
224
                text_i = tmp_i[32*32-1:31*32];
225
                tmp_i = tmp_i << 32;
226
        end
227
 
228
 
229
        @(posedge clk);
230
        @(posedge clk);
231
        @(posedge clk);
232
        @(posedge clk);
233
        @(posedge clk);
234
 
235
        while (cmd_o[4])
236
                @(posedge clk);
237
 
238
        @(posedge clk);
239
        @(posedge clk);
240
        @(posedge clk);
241
        @(posedge clk);
242
        @(posedge clk);
243
 
244
        #100;
245
 
246
 
247
        tmp_i = all_message[1023:0];
248
        @(posedge clk);
249
        cmd_i = 4'b1110;
250
        cmd_w_i = 1'b1;
251
 
252
        for (i=0;i<32;i=i+1)
253
        begin
254
                @(posedge clk);
255
                cmd_w_i = 1'b0;
256
                text_i = tmp_i[32*32-1:31*32];
257
                tmp_i = tmp_i << 32;
258
        end
259
 
260
 
261
        @(posedge clk);
262
        @(posedge clk);
263
        @(posedge clk);
264
        @(posedge clk);
265
        @(posedge clk);
266
 
267
        while (cmd_o[4])
268
                @(posedge clk);
269
 
270
        @(posedge clk);
271
        @(posedge clk);
272
        @(posedge clk);
273
        @(posedge clk);
274
        @(posedge clk);
275
 
276
        cmd_i = 4'b1001;
277
        cmd_w_i = 1'b1;
278
 
279
        @(posedge clk);
280
        cmd_w_i = 1'b0;
281
        for (i=0;i<16;i=i+1)
282
        begin
283
                @(posedge clk);
284
                #1;
285
                tmp = tmp_o[16*32-1:15*32];
286
                if (text_o !== tmp | (|text_o)===1'bx)
287
                begin
288
                        $display("ERROR(SHA-512-%02d) Expected %x, Got %x", i,tmp, text_o);
289
                end
290
                else
291
                begin
292
                        $display("OK(SHA-512-%02d),Expected %x, Got %x", i,tmp, text_o);
293
                end
294
                tmp_o = tmp_o << 32;
295
        end
296
        @(posedge clk);
297
        @(posedge clk);
298
        @(posedge clk);
299
        @(posedge clk);
300
        @(posedge clk);
301
        #100;
302
end
303
endtask
304
 
305
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.