OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [tags/] [VSFR_1/] [source/] [adder_10bit.vhdl] - Blame information for rev 32

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 arif_endro
-- $Id: adder_10bit.vhdl,v 1.1.1.1 2005-01-04 02:05:58 arif_endro Exp $
2
-------------------------------------------------------------------------------
3
-- Title       : Adder 10 bit
4
-- Project     : FM Receiver 
5
-------------------------------------------------------------------------------
6
-- File        : adder_10bit.vhdl 
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2004/12/23 
9
-- Last update : 
10
-- Simulators  : Modelsim 6.0
11
-- Synthesizers: 
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Ripple carry adder 10 bit with output 11 bit
15
-------------------------------------------------------------------------------
16
-- Copyright (c) 2004 Arif E. Nugroho
17
-- This VHDL design file is an open design; you can redistribute it and/or
18
-- modify it and/or implement it after contacting the author
19
-------------------------------------------------------------------------------
20
 
21
library IEEE;
22
use IEEE.STD_LOGIC_1164.ALL;
23
use IEEE.STD_LOGIC_arith.ALL;
24
 
25
entity adder_10bit is
26
   port (
27
      addend_10bit  : in  bit_vector (09 downto 0);
28
      augend_10bit  : in  bit_vector (09 downto 0);
29
      adder10_output: out bit_vector (10 downto 0)
30
      );
31
end adder_10bit;
32
 
33
architecture structural of adder_10bit is
34
 
35
   component fulladder
36
      port (
37
      addend        : in   bit;
38
      augend        : in   bit;
39
      carry_in      : in   bit;
40
      sum           : out  bit;
41
      carry         : out  bit
42
      );
43
   end component;
44
 
45
signal c00 : bit;
46
signal c01 : bit;
47
signal c02 : bit;
48
signal c03 : bit;
49
signal c04 : bit;
50
signal c05 : bit;
51
signal c06 : bit;
52
signal c07 : bit;
53
signal c08 : bit;
54
signal c09 : bit;
55
signal c10 : bit;
56
signal over10 : bit;
57
signal adder10_output_int : bit_vector (10 downto 0);
58
 
59
begin
60
 
61
c00                     <= '0';
62
over10                  <= (addend_10bit (09) xor augend_10bit (09));
63
adder10_output_int (10) <= ((adder10_output_int (09) and over10) or
64
                           (c10 and (not (over10))));
65
adder10_output          <= adder10_output_int;
66
 
67
fa09 : fulladder
68
   port map (
69
      addend     => addend_10bit(09),
70
      augend     => augend_10bit(09),
71
      carry_in   => c09,
72
      sum        => adder10_output_int(09),
73
      carry      => c10
74
      );
75
 
76
fa08 : fulladder
77
   port map (
78
      addend     => addend_10bit(08),
79
      augend     => augend_10bit(08),
80
      carry_in   => c08,
81
      sum        => adder10_output_int(08),
82
      carry      => c09
83
      );
84
 
85
fa07 : fulladder
86
   port map (
87
      addend     => addend_10bit(07),
88
      augend     => augend_10bit(07),
89
      carry_in   => c07,
90
      sum        => adder10_output_int(07),
91
      carry      => c08
92
      );
93
 
94
fa06 : fulladder
95
   port map (
96
      addend     => addend_10bit(06),
97
      augend     => augend_10bit(06),
98
      carry_in   => c06,
99
      sum        => adder10_output_int(06),
100
      carry      => c07
101
      );
102
 
103
fa05 : fulladder
104
   port map (
105
      addend     => addend_10bit(05),
106
      augend     => augend_10bit(05),
107
      carry_in   => c05,
108
      sum        => adder10_output_int(05),
109
      carry      => c06
110
      );
111
 
112
fa04 : fulladder
113
   port map (
114
      addend     => addend_10bit(04),
115
      augend     => augend_10bit(04),
116
      carry_in   => c04,
117
      sum        => adder10_output_int(04),
118
      carry      => c05
119
      );
120
 
121
fa03 : fulladder
122
   port map (
123
      addend     => addend_10bit(03),
124
      augend     => augend_10bit(03),
125
      carry_in   => c03,
126
      sum        => adder10_output_int(03),
127
      carry      => c04
128
      );
129
 
130
fa02 : fulladder
131
   port map (
132
      addend     => addend_10bit(02),
133
      augend     => augend_10bit(02),
134
      carry_in   => c02,
135
      sum        => adder10_output_int(02),
136
      carry      => c03
137
      );
138
 
139
fa01 : fulladder
140
   port map (
141
      addend     => addend_10bit(01),
142
      augend     => augend_10bit(01),
143
      carry_in   => c01,
144
      sum        => adder10_output_int(01),
145
      carry      => c02
146
      );
147
 
148
fa00 : fulladder
149
   port map (
150
      addend     => addend_10bit(00),
151
      augend     => augend_10bit(00),
152
      carry_in   => c00,
153
      sum        => adder10_output_int(00),
154
      carry      => c01
155
      );
156
 
157
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.