OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [tags/] [VSFR_1/] [source/] [adder_13bit.vhdl] - Blame information for rev 32

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 arif_endro
-- $Id: adder_13bit.vhdl,v 1.1.1.1 2005-01-04 02:05:58 arif_endro Exp $
2
-------------------------------------------------------------------------------
3
-- Title       : Adder 13 bit
4
-- Project     : FM Receiver 
5
-------------------------------------------------------------------------------
6
-- File        : adder_13bit.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2004/12/23
9
-- Last update : 
10
-- Simulators  : Modelsim 6.0/Windows98
11
-- Synthesizers: 
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Ripple carry adder 13 bit with output 14 bit
15
-------------------------------------------------------------------------------
16
-- Copyright (c) 2004 Arif E. Nugroho
17
-- This VHDL design file is an open design; you can redistribute it and/or
18
-- modify it and/or implement it after contacting the author
19
-------------------------------------------------------------------------------
20
 
21
library IEEE;
22
use IEEE.STD_LOGIC_1164.ALL;
23
use IEEE.STD_LOGIC_arith.ALL;
24
 
25
entity adder_13bit is
26
   port (
27
      addend_13bit  : in  bit_vector (12 downto 0);
28
      augend_13bit  : in  bit_vector (12 downto 0);
29
      adder13_output: out bit_vector (13 downto 0) -- 14 bit output
30
      );
31
end adder_13bit;
32
 
33
architecture structural of adder_13bit is
34
 
35
   component fulladder
36
      port (
37
      addend        : in   bit;
38
      augend        : in   bit;
39
      carry_in      : in   bit;
40
      sum           : out  bit;
41
      carry         : out  bit
42
      );
43
   end component;
44
 
45
-- internal signal
46
signal c00 : bit;
47
signal c01 : bit;
48
signal c02 : bit;
49
signal c03 : bit;
50
signal c04 : bit;
51
signal c05 : bit;
52
signal c06 : bit;
53
signal c07 : bit;
54
signal c08 : bit;
55
signal c09 : bit;
56
signal c10 : bit;
57
signal c11 : bit;
58
signal c12 : bit;
59
signal c13 : bit;
60
signal over13 : bit;
61
signal adder13_output_int : bit_vector (13 downto 0);
62
 
63
begin
64
 
65
c00                    <= '0';
66
over13                 <= (addend_13bit (12) xor augend_13bit (12));
67
adder13_output_int(13) <= ((adder13_output_int(12) and over13) or
68
                          (c13 and (not (over13))));
69
adder13_output         <= adder13_output_int;
70
 
71
fa12 : fulladder
72
   port map (
73
      addend     => addend_13bit(12),
74
      augend     => augend_13bit(12),
75
      carry_in   => c12,
76
      sum        => adder13_output_int(12),
77
      carry      => c13
78
      );
79
 
80
fa11 : fulladder
81
   port map (
82
      addend     => addend_13bit(11),
83
      augend     => augend_13bit(11),
84
      carry_in   => c11,
85
      sum        => adder13_output_int(11),
86
      carry      => c12
87
      );
88
 
89
fa10 : fulladder
90
   port map (
91
      addend     => addend_13bit(10),
92
      augend     => augend_13bit(10),
93
      carry_in   => c10,
94
      sum        => adder13_output_int(10),
95
      carry      => c11
96
      );
97
 
98
fa09 : fulladder
99
   port map (
100
      addend     => addend_13bit(09),
101
      augend     => augend_13bit(09),
102
      carry_in   => c09,
103
      sum        => adder13_output_int(09),
104
      carry      => c10
105
      );
106
 
107
fa08 : fulladder
108
   port map (
109
      addend     => addend_13bit(08),
110
      augend     => augend_13bit(08),
111
      carry_in   => c08,
112
      sum        => adder13_output_int(08),
113
      carry      => c09
114
      );
115
 
116
fa07 : fulladder
117
   port map (
118
      addend     => addend_13bit(07),
119
      augend     => augend_13bit(07),
120
      carry_in   => c07,
121
      sum        => adder13_output_int(07),
122
      carry      => c08
123
      );
124
 
125
fa06 : fulladder
126
   port map (
127
      addend     => addend_13bit(06),
128
      augend     => augend_13bit(06),
129
      carry_in   => c06,
130
      sum        => adder13_output_int(06),
131
      carry      => c07
132
      );
133
 
134
fa05 : fulladder
135
   port map (
136
      addend     => addend_13bit(05),
137
      augend     => augend_13bit(05),
138
      carry_in   => c05,
139
      sum        => adder13_output_int(05),
140
      carry      => c06
141
      );
142
 
143
fa04 : fulladder
144
   port map (
145
      addend     => addend_13bit(04),
146
      augend     => augend_13bit(04),
147
      carry_in   => c04,
148
      sum        => adder13_output_int(04),
149
      carry      => c05
150
      );
151
 
152
fa03 : fulladder
153
   port map (
154
      addend     => addend_13bit(03),
155
      augend     => augend_13bit(03),
156
      carry_in   => c03,
157
      sum        => adder13_output_int(03),
158
      carry      => c04
159
      );
160
 
161
fa02 : fulladder
162
   port map (
163
      addend     => addend_13bit(02),
164
      augend     => augend_13bit(02),
165
      carry_in   => c02,
166
      sum        => adder13_output_int(02),
167
      carry      => c03
168
      );
169
 
170
fa01 : fulladder
171
   port map (
172
      addend     => addend_13bit(01),
173
      augend     => augend_13bit(01),
174
      carry_in   => c01,
175
      sum        => adder13_output_int(01),
176
      carry      => c02
177
      );
178
 
179
fa00 : fulladder
180
   port map (
181
      addend     => addend_13bit(00),
182
      augend     => augend_13bit(00),
183
      carry_in   => c00,
184
      sum        => adder13_output_int(00),
185
      carry      => c01
186
      );
187
 
188
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.