OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [tags/] [VSFR_1/] [source/] [adder_15bit.vhdl] - Blame information for rev 32

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 arif_endro
-- $Id: adder_15bit.vhdl,v 1.1.1.1 2005-01-04 02:05:58 arif_endro Exp $
2
-------------------------------------------------------------------------------
3
-- Title       : Adder 15 bit
4
-- Project     : FM Receiver 
5
-------------------------------------------------------------------------------
6
-- File        : adder_15bit.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2004/12/02
9
-- Last update : 
10
-- Simulators  : Modelsim 6.0/Windows98
11
-- Synthesizers: 
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Ripple carry adder 15 bit with output 16 bit
15
-------------------------------------------------------------------------------
16
-- Copyright (c) 2004 Arif E. Nugroho
17
-- This VHDL design file is an open design; you can redistribute it and/or
18
-- modify it and/or implement it after contacting the author
19
-------------------------------------------------------------------------------
20
 
21
library IEEE;
22
use IEEE.STD_LOGIC_1164.ALL;
23
use IEEE.STD_LOGIC_arith.ALL;
24
 
25
entity adder_15bit is
26
   port (
27
      addend_15bit  : in  bit_vector (14 downto 0);
28
      augend_15bit  : in  bit_vector (14 downto 0);
29
      adder15_output: out bit_vector (15 downto 0) -- 16 bit output
30
      );
31
end adder_15bit;
32
 
33
architecture structural of adder_15bit is
34
 
35
   component fulladder
36
      port (
37
      addend        : in   bit;
38
      augend        : in   bit;
39
      carry_in      : in   bit;
40
      sum           : out  bit;
41
      carry         : out  bit
42
      );
43
   end component;
44
 
45
-- internal signal
46
signal c00 : bit;
47
signal c01 : bit;
48
signal c02 : bit;
49
signal c03 : bit;
50
signal c04 : bit;
51
signal c05 : bit;
52
signal c06 : bit;
53
signal c07 : bit;
54
signal c08 : bit;
55
signal c09 : bit;
56
signal c10 : bit;
57
signal c11 : bit;
58
signal c12 : bit;
59
signal c13 : bit;
60
signal c14 : bit;
61
signal c15 : bit;
62
signal over15 : bit;
63
signal adder15_output_int : bit_vector (15 downto 0);
64
 
65
begin
66
 
67
c00                     <= '0';
68
over15                  <= (addend_15bit (14) xor augend_15bit (14));
69
adder15_output_int (15) <= ((adder15_output_int (14) and over15) or
70
                           (c15 and (not (over15))));
71
adder15_output          <= adder15_output_int;
72
 
73
fa14 : fulladder
74
   port map (
75
      addend     => addend_15bit(14),
76
      augend     => augend_15bit(14),
77
      carry_in   => c14,
78
      sum        => adder15_output_int(14),
79
      carry      => c15
80
      );
81
 
82
fa13 : fulladder
83
   port map (
84
      addend     => addend_15bit(13),
85
      augend     => augend_15bit(13),
86
      carry_in   => c13,
87
      sum        => adder15_output_int(13),
88
      carry      => c14
89
      );
90
 
91
fa12 : fulladder
92
   port map (
93
      addend     => addend_15bit(12),
94
      augend     => augend_15bit(12),
95
      carry_in   => c12,
96
      sum        => adder15_output_int(12),
97
      carry      => c13
98
      );
99
 
100
fa11 : fulladder
101
   port map (
102
      addend     => addend_15bit(11),
103
      augend     => augend_15bit(11),
104
      carry_in   => c11,
105
      sum        => adder15_output_int(11),
106
      carry      => c12
107
      );
108
 
109
fa10 : fulladder
110
   port map (
111
      addend     => addend_15bit(10),
112
      augend     => augend_15bit(10),
113
      carry_in   => c10,
114
      sum        => adder15_output_int(10),
115
      carry      => c11
116
      );
117
 
118
fa09 : fulladder
119
   port map (
120
      addend     => addend_15bit(09),
121
      augend     => augend_15bit(09),
122
      carry_in   => c09,
123
      sum        => adder15_output_int(09),
124
      carry      => c10
125
      );
126
 
127
fa08 : fulladder
128
   port map (
129
      addend     => addend_15bit(08),
130
      augend     => augend_15bit(08),
131
      carry_in   => c08,
132
      sum        => adder15_output_int(08),
133
      carry      => c09
134
      );
135
 
136
fa07 : fulladder
137
   port map (
138
      addend     => addend_15bit(07),
139
      augend     => augend_15bit(07),
140
      carry_in   => c07,
141
      sum        => adder15_output_int(07),
142
      carry      => c08
143
      );
144
 
145
fa06 : fulladder
146
   port map (
147
      addend     => addend_15bit(06),
148
      augend     => augend_15bit(06),
149
      carry_in   => c06,
150
      sum        => adder15_output_int(06),
151
      carry      => c07
152
      );
153
 
154
fa05 : fulladder
155
   port map (
156
      addend     => addend_15bit(05),
157
      augend     => augend_15bit(05),
158
      carry_in   => c05,
159
      sum        => adder15_output_int(05),
160
      carry      => c06
161
      );
162
 
163
fa04 : fulladder
164
   port map (
165
      addend     => addend_15bit(04),
166
      augend     => augend_15bit(04),
167
      carry_in   => c04,
168
      sum        => adder15_output_int(04),
169
      carry      => c05
170
      );
171
 
172
fa03 : fulladder
173
   port map (
174
      addend     => addend_15bit(03),
175
      augend     => augend_15bit(03),
176
      carry_in   => c03,
177
      sum        => adder15_output_int(03),
178
      carry      => c04
179
      );
180
 
181
fa02 : fulladder
182
   port map (
183
      addend     => addend_15bit(02),
184
      augend     => augend_15bit(02),
185
      carry_in   => c02,
186
      sum        => adder15_output_int(02),
187
      carry      => c03
188
      );
189
 
190
fa01 : fulladder
191
   port map (
192
      addend     => addend_15bit(01),
193
      augend     => augend_15bit(01),
194
      carry_in   => c01,
195
      sum        => adder15_output_int(01),
196
      carry      => c02
197
      );
198
 
199
fa00 : fulladder
200
   port map (
201
      addend     => addend_15bit(00),
202
      augend     => augend_15bit(00),
203
      carry_in   => c00,
204
      sum        => adder15_output_int(00),
205
      carry      => c01
206
      );
207
 
208
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.