OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [tags/] [VSFR_1/] [source/] [adder_16bit.vhdl] - Blame information for rev 32

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 arif_endro
-- $Id: adder_16bit.vhdl,v 1.1.1.1 2005-01-04 02:05:58 arif_endro Exp $
2
-------------------------------------------------------------------------------
3
-- Title       : Adder 16 bit
4
-- Project     : FM Receiver 
5
-------------------------------------------------------------------------------
6
-- File        : adder_16bit.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2004/12/23
9
-- Last update : 
10
-- Simulators  : Modelsim 6.0/Windows98
11
-- Synthesizers: 
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Ripple carry adder 16 bit with output 17 bit
15
-------------------------------------------------------------------------------
16
-- Copyright (c) 2004 Arif E. Nugroho
17
-- This VHDL design file is an open design; you can redistribute it and/or
18
-- modify it and/or implement it after contacting the author
19
-------------------------------------------------------------------------------
20
 
21
library IEEE;
22
use IEEE.STD_LOGIC_1164.ALL;
23
use IEEE.STD_LOGIC_arith.ALL;
24
 
25
entity adder_16bit is
26
   port (
27
      addend_16bit  : in  bit_vector (15 downto 0);
28
      augend_16bit  : in  bit_vector (15 downto 0);
29
      adder16_output: out bit_vector (16 downto 0) -- 17 bit output
30
      );
31
end adder_16bit;
32
 
33
architecture structural of adder_16bit is
34
 
35
   component fulladder
36
      port (
37
      addend        : in   bit;
38
      augend        : in   bit;
39
      carry_in      : in   bit;
40
      sum           : out  bit;
41
      carry         : out  bit
42
      );
43
   end component;
44
 
45
-- internal signal
46
signal c00 : bit;
47
signal c01 : bit;
48
signal c02 : bit;
49
signal c03 : bit;
50
signal c04 : bit;
51
signal c05 : bit;
52
signal c06 : bit;
53
signal c07 : bit;
54
signal c08 : bit;
55
signal c09 : bit;
56
signal c10 : bit;
57
signal c11 : bit;
58
signal c12 : bit;
59
signal c13 : bit;
60
signal c14 : bit;
61
signal c15 : bit;
62
signal c16 : bit;
63
signal over16 : bit;
64
signal adder16_output_int : bit_vector (16 downto 0);
65
 
66
begin
67
 
68
c00                     <= '0';
69
over16                  <= (addend_16bit (15) xor augend_16bit (15));
70
adder16_output_int (16) <= ((adder16_output_int (15) and over16) or
71
                           (c16 and (not (over16))));
72
adder16_output          <= adder16_output_int;
73
 
74
fa15 : fulladder
75
   port map (
76
      addend     => addend_16bit(15),
77
      augend     => augend_16bit(15),
78
      carry_in   => c15,
79
      sum        => adder16_output_int(15),
80
      carry      => c16
81
      );
82
 
83
fa14 : fulladder
84
   port map (
85
      addend     => addend_16bit(14),
86
      augend     => augend_16bit(14),
87
      carry_in   => c14,
88
      sum        => adder16_output_int(14),
89
      carry      => c15
90
      );
91
 
92
fa13 : fulladder
93
   port map (
94
      addend     => addend_16bit(13),
95
      augend     => augend_16bit(13),
96
      carry_in   => c13,
97
      sum        => adder16_output_int(13),
98
      carry      => c14
99
      );
100
 
101
fa12 : fulladder
102
   port map (
103
      addend     => addend_16bit(12),
104
      augend     => augend_16bit(12),
105
      carry_in   => c12,
106
      sum        => adder16_output_int(12),
107
      carry      => c13
108
      );
109
 
110
fa11 : fulladder
111
   port map (
112
      addend     => addend_16bit(11),
113
      augend     => augend_16bit(11),
114
      carry_in   => c11,
115
      sum        => adder16_output_int(11),
116
      carry      => c12
117
      );
118
 
119
fa10 : fulladder
120
   port map (
121
      addend     => addend_16bit(10),
122
      augend     => augend_16bit(10),
123
      carry_in   => c10,
124
      sum        => adder16_output_int(10),
125
      carry      => c11
126
      );
127
 
128
fa09 : fulladder
129
   port map (
130
      addend     => addend_16bit(09),
131
      augend     => augend_16bit(09),
132
      carry_in   => c09,
133
      sum        => adder16_output_int(09),
134
      carry      => c10
135
      );
136
 
137
fa08 : fulladder
138
   port map (
139
      addend     => addend_16bit(08),
140
      augend     => augend_16bit(08),
141
      carry_in   => c08,
142
      sum        => adder16_output_int(08),
143
      carry      => c09
144
      );
145
 
146
fa07 : fulladder
147
   port map (
148
      addend     => addend_16bit(07),
149
      augend     => augend_16bit(07),
150
      carry_in   => c07,
151
      sum        => adder16_output_int(07),
152
      carry      => c08
153
      );
154
 
155
fa06 : fulladder
156
   port map (
157
      addend     => addend_16bit(06),
158
      augend     => augend_16bit(06),
159
      carry_in   => c06,
160
      sum        => adder16_output_int(06),
161
      carry      => c07
162
      );
163
 
164
fa05 : fulladder
165
   port map (
166
      addend     => addend_16bit(05),
167
      augend     => augend_16bit(05),
168
      carry_in   => c05,
169
      sum        => adder16_output_int(05),
170
      carry      => c06
171
      );
172
 
173
fa04 : fulladder
174
   port map (
175
      addend     => addend_16bit(04),
176
      augend     => augend_16bit(04),
177
      carry_in   => c04,
178
      sum        => adder16_output_int(04),
179
      carry      => c05
180
      );
181
 
182
fa03 : fulladder
183
   port map (
184
      addend     => addend_16bit(03),
185
      augend     => augend_16bit(03),
186
      carry_in   => c03,
187
      sum        => adder16_output_int(03),
188
      carry      => c04
189
      );
190
 
191
fa02 : fulladder
192
   port map (
193
      addend     => addend_16bit(02),
194
      augend     => augend_16bit(02),
195
      carry_in   => c02,
196
      sum        => adder16_output_int(02),
197
      carry      => c03
198
      );
199
 
200
fa01 : fulladder
201
   port map (
202
      addend     => addend_16bit(01),
203
      augend     => augend_16bit(01),
204
      carry_in   => c01,
205
      sum        => adder16_output_int(01),
206
      carry      => c02
207
      );
208
 
209
fa00 : fulladder
210
   port map (
211
      addend     => addend_16bit(00),
212
      augend     => augend_16bit(00),
213
      carry_in   => c00,
214
      sum        => adder16_output_int(00),
215
      carry      => c01
216
      );
217
 
218
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.