OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [tags/] [VSFR_1/] [source/] [adder_16bit_u.vhdl] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 arif_endro
-- $Id: adder_16bit_u.vhdl,v 1.1.1.1 2005-01-04 02:05:58 arif_endro Exp $
2
-------------------------------------------------------------------------------
3
-- Title       : Adder 16 bit
4
-- Project     : FM Receiver 
5
-------------------------------------------------------------------------------
6
-- File        : adder_16bit_u.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2004/12/23
9
-- Last update : 
10
-- Simulators  : Modelsim 6.0
11
-- Synthesizers: 
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Ripple carry adder 16 bit with output 16 bit
15
-------------------------------------------------------------------------------
16
-- Copyright (c) 2004 Arif E. Nugroho
17
-- This VHDL design file is an open design; you can redistribute it and/or
18
-- modify it and/or implement it after contacting the author
19
-------------------------------------------------------------------------------
20
 
21
library IEEE;
22
use IEEE.STD_LOGIC_1164.ALL;
23
use IEEE.STD_LOGIC_arith.ALL;
24
 
25
entity adder_16bit_u is
26
   port (
27
      addend_16bit  : in  bit_vector (15 downto 0);
28
      augend_16bit  : in  bit_vector (15 downto 0);
29
      adder16_output: out bit_vector (15 downto 0)
30
      );
31
end adder_16bit_u;
32
 
33
architecture structural of adder_16bit_u is
34
 
35
   component fulladder
36
      port (
37
      addend        : in   bit;
38
      augend        : in   bit;
39
      carry_in      : in   bit;
40
      sum           : out  bit;
41
      carry         : out  bit
42
      );
43
   end component;
44
 
45
-- internal signal
46
signal c00 : bit;
47
signal c01 : bit;
48
signal c02 : bit;
49
signal c03 : bit;
50
signal c04 : bit;
51
signal c05 : bit;
52
signal c06 : bit;
53
signal c07 : bit;
54
signal c08 : bit;
55
signal c09 : bit;
56
signal c10 : bit;
57
signal c11 : bit;
58
signal c12 : bit;
59
signal c13 : bit;
60
signal c14 : bit;
61
signal c15 : bit;
62
signal c16 : bit;
63
 
64
begin
65
 
66
c00 <= '0';
67
 
68
fa15 : fulladder
69
   port map (
70
      addend     => addend_16bit(15),
71
      augend     => augend_16bit(15),
72
      carry_in   => c15,
73
      sum        => adder16_output(15),
74
      carry      => c16
75
      );
76
 
77
fa14 : fulladder
78
   port map (
79
      addend     => addend_16bit(14),
80
      augend     => augend_16bit(14),
81
      carry_in   => c14,
82
      sum        => adder16_output(14),
83
      carry      => c15
84
      );
85
 
86
fa13 : fulladder
87
   port map (
88
      addend     => addend_16bit(13),
89
      augend     => augend_16bit(13),
90
      carry_in   => c13,
91
      sum        => adder16_output(13),
92
      carry      => c14
93
      );
94
 
95
fa12 : fulladder
96
   port map (
97
      addend     => addend_16bit(12),
98
      augend     => augend_16bit(12),
99
      carry_in   => c12,
100
      sum        => adder16_output(12),
101
      carry      => c13
102
      );
103
 
104
fa11 : fulladder
105
   port map (
106
      addend     => addend_16bit(11),
107
      augend     => augend_16bit(11),
108
      carry_in   => c11,
109
      sum        => adder16_output(11),
110
      carry      => c12
111
      );
112
 
113
fa10 : fulladder
114
   port map (
115
      addend     => addend_16bit(10),
116
      augend     => augend_16bit(10),
117
      carry_in   => c10,
118
      sum        => adder16_output(10),
119
      carry      => c11
120
      );
121
 
122
fa09 : fulladder
123
   port map (
124
      addend     => addend_16bit(09),
125
      augend     => augend_16bit(09),
126
      carry_in   => c09,
127
      sum        => adder16_output(09),
128
      carry      => c10
129
      );
130
 
131
fa08 : fulladder
132
   port map (
133
      addend     => addend_16bit(08),
134
      augend     => augend_16bit(08),
135
      carry_in   => c08,
136
      sum        => adder16_output(08),
137
      carry      => c09
138
      );
139
 
140
fa07 : fulladder
141
   port map (
142
      addend     => addend_16bit(07),
143
      augend     => augend_16bit(07),
144
      carry_in   => c07,
145
      sum        => adder16_output(07),
146
      carry      => c08
147
      );
148
 
149
fa06 : fulladder
150
   port map (
151
      addend     => addend_16bit(06),
152
      augend     => augend_16bit(06),
153
      carry_in   => c06,
154
      sum        => adder16_output(06),
155
      carry      => c07
156
      );
157
 
158
fa05 : fulladder
159
   port map (
160
      addend     => addend_16bit(05),
161
      augend     => augend_16bit(05),
162
      carry_in   => c05,
163
      sum        => adder16_output(05),
164
      carry      => c06
165
      );
166
 
167
fa04 : fulladder
168
   port map (
169
      addend     => addend_16bit(04),
170
      augend     => augend_16bit(04),
171
      carry_in   => c04,
172
      sum        => adder16_output(04),
173
      carry      => c05
174
      );
175
 
176
fa03 : fulladder
177
   port map (
178
      addend     => addend_16bit(03),
179
      augend     => augend_16bit(03),
180
      carry_in   => c03,
181
      sum        => adder16_output(03),
182
      carry      => c04
183
      );
184
 
185
fa02 : fulladder
186
   port map (
187
      addend     => addend_16bit(02),
188
      augend     => augend_16bit(02),
189
      carry_in   => c02,
190
      sum        => adder16_output(02),
191
      carry      => c03
192
      );
193
 
194
fa01 : fulladder
195
   port map (
196
      addend     => addend_16bit(01),
197
      augend     => augend_16bit(01),
198
      carry_in   => c01,
199
      sum        => adder16_output(01),
200
      carry      => c02
201
      );
202
 
203
fa00 : fulladder
204
   port map (
205
      addend     => addend_16bit(00),
206
      augend     => augend_16bit(00),
207
      carry_in   => c00,
208
      sum        => adder16_output(00),
209
      carry      => c01
210
      );
211
 
212
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.