OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [tags/] [VSFR_1/] [source/] [adder_18bit.vhdl] - Blame information for rev 47

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 arif_endro
-- $Id: adder_18bit.vhdl,v 1.1.1.1 2005-01-04 02:05:58 arif_endro Exp $
2
-------------------------------------------------------------------------------
3
-- Title       : Adder 18 bit
4
-- Project     : FM Receiver 
5
-------------------------------------------------------------------------------
6
-- File        : adder_18bit.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2004/12/01
9
-- Last update : 
10
-- Simulators  : Modelsim 6.0
11
-- Synthesizers: 
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Ripple carry adder 18 bit with output 18 bit
15
-------------------------------------------------------------------------------
16
-- Copyright (c) 2004 Arif E. Nugroho
17
-- This VHDL design file is an open design; you can redistribute it and/or
18
-- modify it and/or implement it after contacting the author
19
-------------------------------------------------------------------------------
20
 
21
library IEEE;
22
use IEEE.STD_LOGIC_1164.ALL;
23
use IEEE.STD_LOGIC_arith.ALL;
24
 
25
entity adder_18bit is
26
   port (
27
      addend_18bit  : in  bit_vector (17 downto 0);
28
      augend_18bit  : in  bit_vector (17 downto 0);
29
      adder18_output: out bit_vector (17 downto 0)
30
      );
31
end adder_18bit;
32
 
33
architecture structural of adder_18bit is
34
 
35
   component fulladder
36
      port (
37
      addend        : in   bit;
38
      augend        : in   bit;
39
      carry_in      : in   bit;
40
      sum           : out  bit;
41
      carry         : out  bit
42
      );
43
   end component;
44
 
45
-- internal signal
46
signal c00 : bit;
47
signal c01 : bit;
48
signal c02 : bit;
49
signal c03 : bit;
50
signal c04 : bit;
51
signal c05 : bit;
52
signal c06 : bit;
53
signal c07 : bit;
54
signal c08 : bit;
55
signal c09 : bit;
56
signal c10 : bit;
57
signal c11 : bit;
58
signal c12 : bit;
59
signal c13 : bit;
60
signal c14 : bit;
61
signal c15 : bit;
62
signal c16 : bit;
63
signal c17 : bit;
64
signal c18 : bit;
65
 
66
begin
67
 
68
c00 <= '0';
69
 
70
fa17 : fulladder
71
   port map (
72
      addend     => addend_18bit(17),
73
      augend     => augend_18bit(17),
74
      carry_in   => c17,
75
      sum        => adder18_output(17),
76
      carry      => c18
77
      );
78
 
79
fa16 : fulladder
80
   port map (
81
      addend     => addend_18bit(16),
82
      augend     => augend_18bit(16),
83
      carry_in   => c16,
84
      sum        => adder18_output(16),
85
      carry      => c17
86
      );
87
 
88
fa15 : fulladder
89
   port map (
90
      addend     => addend_18bit(15),
91
      augend     => augend_18bit(15),
92
      carry_in   => c15,
93
      sum        => adder18_output(15),
94
      carry      => c16
95
      );
96
 
97
fa14 : fulladder
98
   port map (
99
      addend     => addend_18bit(14),
100
      augend     => augend_18bit(14),
101
      carry_in   => c14,
102
      sum        => adder18_output(14),
103
      carry      => c15
104
      );
105
 
106
fa13 : fulladder
107
   port map (
108
      addend     => addend_18bit(13),
109
      augend     => augend_18bit(13),
110
      carry_in   => c13,
111
      sum        => adder18_output(13),
112
      carry      => c14
113
      );
114
 
115
fa12 : fulladder
116
   port map (
117
      addend     => addend_18bit(12),
118
      augend     => augend_18bit(12),
119
      carry_in   => c12,
120
      sum        => adder18_output(12),
121
      carry      => c13
122
      );
123
 
124
fa11 : fulladder
125
   port map (
126
      addend     => addend_18bit(11),
127
      augend     => augend_18bit(11),
128
      carry_in   => c11,
129
      sum        => adder18_output(11),
130
      carry      => c12
131
      );
132
 
133
fa10 : fulladder
134
   port map (
135
      addend     => addend_18bit(10),
136
      augend     => augend_18bit(10),
137
      carry_in   => c10,
138
      sum        => adder18_output(10),
139
      carry      => c11
140
      );
141
 
142
fa09 : fulladder
143
   port map (
144
      addend     => addend_18bit(09),
145
      augend     => augend_18bit(09),
146
      carry_in   => c09,
147
      sum        => adder18_output(09),
148
      carry      => c10
149
      );
150
 
151
fa08 : fulladder
152
   port map (
153
      addend     => addend_18bit(08),
154
      augend     => augend_18bit(08),
155
      carry_in   => c08,
156
      sum        => adder18_output(08),
157
      carry      => c09
158
      );
159
 
160
fa07 : fulladder
161
   port map (
162
      addend     => addend_18bit(07),
163
      augend     => augend_18bit(07),
164
      carry_in   => c07,
165
      sum        => adder18_output(07),
166
      carry      => c08
167
      );
168
 
169
fa06 : fulladder
170
   port map (
171
      addend     => addend_18bit(06),
172
      augend     => augend_18bit(06),
173
      carry_in   => c06,
174
      sum        => adder18_output(06),
175
      carry      => c07
176
      );
177
 
178
fa05 : fulladder
179
   port map (
180
      addend     => addend_18bit(05),
181
      augend     => augend_18bit(05),
182
      carry_in   => c05,
183
      sum        => adder18_output(05),
184
      carry      => c06
185
      );
186
 
187
fa04 : fulladder
188
   port map (
189
      addend     => addend_18bit(04),
190
      augend     => augend_18bit(04),
191
      carry_in   => c04,
192
      sum        => adder18_output(04),
193
      carry      => c05
194
      );
195
 
196
fa03 : fulladder
197
   port map (
198
      addend     => addend_18bit(03),
199
      augend     => augend_18bit(03),
200
      carry_in   => c03,
201
      sum        => adder18_output(03),
202
      carry      => c04
203
      );
204
 
205
fa02 : fulladder
206
   port map (
207
      addend     => addend_18bit(02),
208
      augend     => augend_18bit(02),
209
      carry_in   => c02,
210
      sum        => adder18_output(02),
211
      carry      => c03
212
      );
213
 
214
fa01 : fulladder
215
   port map (
216
      addend     => addend_18bit(01),
217
      augend     => augend_18bit(01),
218
      carry_in   => c01,
219
      sum        => adder18_output(01),
220
      carry      => c02
221
      );
222
 
223
fa00 : fulladder
224
   port map (
225
      addend     => addend_18bit(00),
226
      augend     => augend_18bit(00),
227
      carry_in   => c00,
228
      sum        => adder18_output(00),
229
      carry      => c01
230
      );
231
 
232
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.