OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [tags/] [VSFR_1/] [source/] [modelsim_v.do] - Blame information for rev 47

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 arif_endro
# $Id: modelsim_v.do,v 1.1.1.1 2005-01-04 02:05:58 arif_endro Exp $
2
#
3
destroy .wave;
4
destroy .list;
5
vlib work;
6
vlog *.v;
7
vsim bench;
8
add wave -height 80 -scale .1 -format Analog-Step /bench/myfm/fmin
9
add wave -height 80 -scale .1 -format Analog-Step /bench/myfm/output_nco
10
add wave -height 80 -scale 1. -format Analog-Step /bench/myfm/phase_output
11
add wave -height 80 -scale .0002 -format Analog-Step /bench/myfm/mynco/myaddacc/result
12
add wave -height 80 -scale .1 -format Analog-Step /bench/myfm/loop_out
13
add wave -height 80 -scale 1. -format Analog-Step /bench/myfm/dmout

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.