OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [tags/] [VSFR_1/] [source/] [phase_detector.vhdl] - Blame information for rev 32

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 arif_endro
-- $Id: phase_detector.vhdl,v 1.1.1.1 2005-01-04 02:05:58 arif_endro Exp $
2
-------------------------------------------------------------------------------
3
-- Title       : Phase detector
4
-- Project     : FM Receiver 
5
-------------------------------------------------------------------------------
6
-- File        : phase_detector.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2004/12/18
9
-- Last update : 
10
-- Simulators  : Modelsim 6.0
11
-- Synthesizers: 
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : multiply input signal with signal nco
15
-------------------------------------------------------------------------------
16
-- Copyright (c) 2004 Arif E. Nugroho
17
-- This VHDL design file is an open design; you can redistribute it and/or
18
-- modify it and/or implement it after contacting the author
19
-------------------------------------------------------------------------------
20
 
21
library IEEE;
22
use IEEE.STD_LOGIC_1164.ALL;
23
use IEEE.STD_LOGIC_arith.ALL;
24
 
25
entity phase_detector is
26
   port (
27
   clock        : in  bit;
28
   signal_input : in  bit_vector (07 downto 0);
29
   signal_nco   : in  bit_vector (07 downto 0);
30
   phase_output : out bit_vector (07 downto 0)
31
   );
32
end phase_detector;
33
 
34
architecture structural of phase_detector is
35
   component mult_8bit
36
   port (
37
   mult_01    : in  bit_vector (07 downto 00);
38
   mult_02    : in  bit_vector (07 downto 00);
39
   result_mult: out bit_vector (15 downto 00)
40
   );
41
   end component;
42
 
43
   signal output_mult  : bit_vector (15 downto 0);
44
 
45
   begin
46
 
47
phase_mult: mult_8bit
48
   port map (
49
   mult_01     (07 downto 0)  => signal_input,
50
   mult_02     (07 downto 0)  => signal_nco,
51
   result_mult (15 downto 0)  => output_mult
52
   );
53
 
54
   process (clock)
55
 
56
   begin
57
     if ((clock = '1') and clock'event) then
58
           phase_output <= output_mult(15 downto 8);
59
     end if;
60
   end process;
61
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.