OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [tags/] [version_1_1/] [source/] [addacc.vhdl] - Blame information for rev 13

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 arif_endro
-- $Id: addacc.vhdl,v 1.2 2005-02-21 06:54:22 arif_endro Exp $
2 2 arif_endro
-------------------------------------------------------------------------------
3
-- Title       : Accumulator and Adder
4
-- Project     : FM Receiver 
5
-------------------------------------------------------------------------------
6
-- File        : addacc.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2004/10/25
9 13 arif_endro
-- Last update : 
10
-- Simulators  : 
11 2 arif_endro
-- Synthesizers: 
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Accumulator used in NCO of PLL in FM Receiver
15
-------------------------------------------------------------------------------
16 13 arif_endro
-- Copyright (C) 2004 Arif E. Nugroho
17 2 arif_endro
-- This VHDL design file is an open design; you can redistribute it and/or
18
-- modify it and/or implement it after contacting the author
19
-------------------------------------------------------------------------------
20 13 arif_endro
-------------------------------------------------------------------------------
21
-- 
22
--      THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
23
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
24
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
25
-- ASSOCIATED DISCLAIMER.
26
-- 
27
-------------------------------------------------------------------------------
28
-- 
29
--      THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
30
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
31
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
32
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
33
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
34
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
35
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
36
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
37
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
38
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
39
-- 
40
-------------------------------------------------------------------------------
41 2 arif_endro
 
42
library IEEE;
43
use IEEE.STD_LOGIC_1164.all;
44
use IEEE.STD_LOGIC_arith.all;
45
 
46
entity addacc is
47
   port (
48
    clock  : in  bit;
49
    acc    : in  bit_vector (17 downto 0);
50
    result : out bit_vector (17 downto 0);
51
    offset : in  bit_vector (17 downto 0)
52
    );
53
end addacc;
54
 
55
architecture structural of addacc is
56
   component adder_18bit
57
      port (
58
      addend_18bit   : in  bit_vector (17 downto 0);
59
      augend_18bit   : in  bit_vector (17 downto 0);
60
      adder18_output : out bit_vector (17 downto 0)
61
      );
62
   end component;
63
 
64
signal result_adder01     : bit_vector (17 downto 0);
65
signal result_adder02     : bit_vector (17 downto 0);
66
signal result_adder02_reg : bit_vector (17 downto 0);
67
 
68
begin
69
adder01 : adder_18bit
70
  port map (
71
  addend_18bit     => offset,
72
  augend_18bit     => acc,
73
  adder18_output   => result_adder01
74
  );
75
adder02 : adder_18bit
76
  port map (
77
  addend_18bit     => result_adder01,
78
  augend_18bit     => result_adder02_reg,
79
  adder18_output   => result_adder02
80
  );
81
  process (clock)
82
  begin
83
          if ((clock = '1') and clock'event) then
84
                  result_adder02_reg <= result_adder02;
85
                  result <= result_adder02;
86
          end if;
87
  end process;
88
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.