OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [tags/] [version_1_1/] [source/] [adder_16bit_u.vhdl] - Blame information for rev 32

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 14 arif_endro
-- $Id: adder_16bit_u.vhdl,v 1.3 2005-03-04 08:06:17 arif_endro Exp $
2 2 arif_endro
-------------------------------------------------------------------------------
3
-- Title       : Adder 16 bit
4
-- Project     : FM Receiver 
5
-------------------------------------------------------------------------------
6
-- File        : adder_16bit_u.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2004/12/23
9
-- Last update : 
10 13 arif_endro
-- Simulators  : 
11 2 arif_endro
-- Synthesizers: 
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Ripple carry adder 16 bit with output 16 bit
15
-------------------------------------------------------------------------------
16 13 arif_endro
-- Copyright (C) 2004 Arif E. Nugroho
17 2 arif_endro
-- This VHDL design file is an open design; you can redistribute it and/or
18
-- modify it and/or implement it after contacting the author
19
-------------------------------------------------------------------------------
20 13 arif_endro
-------------------------------------------------------------------------------
21
-- 
22
--      THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
23
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
24
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
25
-- ASSOCIATED DISCLAIMER.
26
-- 
27
-------------------------------------------------------------------------------
28
-- 
29
--      THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
30
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
31
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
32
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
33
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
34
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
35
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
36
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
37
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
38
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
39
-- 
40
-------------------------------------------------------------------------------
41 2 arif_endro
 
42
library IEEE;
43
use IEEE.STD_LOGIC_1164.ALL;
44
 
45
entity adder_16bit_u is
46
   port (
47
      addend_16bit  : in  bit_vector (15 downto 0);
48
      augend_16bit  : in  bit_vector (15 downto 0);
49
      adder16_output: out bit_vector (15 downto 0)
50
      );
51
end adder_16bit_u;
52
 
53
architecture structural of adder_16bit_u is
54
 
55
   component fulladder
56
      port (
57
      addend        : in   bit;
58
      augend        : in   bit;
59
      carry_in      : in   bit;
60
      sum           : out  bit;
61
      carry         : out  bit
62
      );
63
   end component;
64
 
65
-- internal signal
66
signal c00 : bit;
67
signal c01 : bit;
68
signal c02 : bit;
69
signal c03 : bit;
70
signal c04 : bit;
71
signal c05 : bit;
72
signal c06 : bit;
73
signal c07 : bit;
74
signal c08 : bit;
75
signal c09 : bit;
76
signal c10 : bit;
77
signal c11 : bit;
78
signal c12 : bit;
79
signal c13 : bit;
80
signal c14 : bit;
81
signal c15 : bit;
82
signal c16 : bit;
83
 
84
begin
85
 
86
c00 <= '0';
87
 
88
fa15 : fulladder
89
   port map (
90
      addend     => addend_16bit(15),
91
      augend     => augend_16bit(15),
92
      carry_in   => c15,
93
      sum        => adder16_output(15),
94
      carry      => c16
95
      );
96
 
97
fa14 : fulladder
98
   port map (
99
      addend     => addend_16bit(14),
100
      augend     => augend_16bit(14),
101
      carry_in   => c14,
102
      sum        => adder16_output(14),
103
      carry      => c15
104
      );
105
 
106
fa13 : fulladder
107
   port map (
108
      addend     => addend_16bit(13),
109
      augend     => augend_16bit(13),
110
      carry_in   => c13,
111
      sum        => adder16_output(13),
112
      carry      => c14
113
      );
114
 
115
fa12 : fulladder
116
   port map (
117
      addend     => addend_16bit(12),
118
      augend     => augend_16bit(12),
119
      carry_in   => c12,
120
      sum        => adder16_output(12),
121
      carry      => c13
122
      );
123
 
124
fa11 : fulladder
125
   port map (
126
      addend     => addend_16bit(11),
127
      augend     => augend_16bit(11),
128
      carry_in   => c11,
129
      sum        => adder16_output(11),
130
      carry      => c12
131
      );
132
 
133
fa10 : fulladder
134
   port map (
135
      addend     => addend_16bit(10),
136
      augend     => augend_16bit(10),
137
      carry_in   => c10,
138
      sum        => adder16_output(10),
139
      carry      => c11
140
      );
141
 
142
fa09 : fulladder
143
   port map (
144
      addend     => addend_16bit(09),
145
      augend     => augend_16bit(09),
146
      carry_in   => c09,
147
      sum        => adder16_output(09),
148
      carry      => c10
149
      );
150
 
151
fa08 : fulladder
152
   port map (
153
      addend     => addend_16bit(08),
154
      augend     => augend_16bit(08),
155
      carry_in   => c08,
156
      sum        => adder16_output(08),
157
      carry      => c09
158
      );
159
 
160
fa07 : fulladder
161
   port map (
162
      addend     => addend_16bit(07),
163
      augend     => augend_16bit(07),
164
      carry_in   => c07,
165
      sum        => adder16_output(07),
166
      carry      => c08
167
      );
168
 
169
fa06 : fulladder
170
   port map (
171
      addend     => addend_16bit(06),
172
      augend     => augend_16bit(06),
173
      carry_in   => c06,
174
      sum        => adder16_output(06),
175
      carry      => c07
176
      );
177
 
178
fa05 : fulladder
179
   port map (
180
      addend     => addend_16bit(05),
181
      augend     => augend_16bit(05),
182
      carry_in   => c05,
183
      sum        => adder16_output(05),
184
      carry      => c06
185
      );
186
 
187
fa04 : fulladder
188
   port map (
189
      addend     => addend_16bit(04),
190
      augend     => augend_16bit(04),
191
      carry_in   => c04,
192
      sum        => adder16_output(04),
193
      carry      => c05
194
      );
195
 
196
fa03 : fulladder
197
   port map (
198
      addend     => addend_16bit(03),
199
      augend     => augend_16bit(03),
200
      carry_in   => c03,
201
      sum        => adder16_output(03),
202
      carry      => c04
203
      );
204
 
205
fa02 : fulladder
206
   port map (
207
      addend     => addend_16bit(02),
208
      augend     => augend_16bit(02),
209
      carry_in   => c02,
210
      sum        => adder16_output(02),
211
      carry      => c03
212
      );
213
 
214
fa01 : fulladder
215
   port map (
216
      addend     => addend_16bit(01),
217
      augend     => augend_16bit(01),
218
      carry_in   => c01,
219
      sum        => adder16_output(01),
220
      carry      => c02
221
      );
222
 
223
fa00 : fulladder
224
   port map (
225
      addend     => addend_16bit(00),
226
      augend     => augend_16bit(00),
227
      carry_in   => c00,
228
      sum        => adder16_output(00),
229
      carry      => c01
230
      );
231
 
232
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.