OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [README] - Blame information for rev 36

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 14 arif_endro
$Id: README,v 1.2 2005-03-04 08:03:10 arif_endro Exp $
2 2 arif_endro
 
3 14 arif_endro
Do not edit files in directory `export' directly but change the HDL
4
source in `source' directory then use ALLIANCE tools to analyze, export
5
and sintesis them.
6 2 arif_endro
 
7 14 arif_endro
There are more than one test bench, the first (e.g modelsim-bench) is
8
for quick test e.g just hit `run -all' then this will test in one loop,
9
other can be used for modifying clock signal or applying reset signal to
10
fm so custom input response can be analyze.
11
 
12 2 arif_endro
directory layout:
13 14 arif_endro
 
14 2 arif_endro
        source => contain source code development (primary source)
15
        export => contain VHDL and VERILOG exportable code that can
16 14 arif_endro
                  be synthesized on many synthesizer tools.
17
        docs   => contains documentation on FM Receiver
18 2 arif_endro
        bench  => the test bench clock and reset can be modified
19
 
20 14 arif_endro
NOTES:
21
 
22
The documentation is better displayed on postscript format than in pdf
23
format this may be because the dvipdf driver not produces good pdf file.
24
if you have ghostview or any postscript viewer see the postscript file
25
to get the best view.
26
 
27
 
28
Arif E. Nugroho
29
arif_endro@yahoo.com
30
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.