OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [bench/] [bench.vhdl] - Blame information for rev 32

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 14 arif_endro
-- $Id: bench.vhdl,v 1.4 2005-03-04 08:03:56 arif_endro Exp $
2 2 arif_endro
-------------------------------------------------------------------------------
3
-- Title       : Test Bench
4
-- Project     : FM Receiver 
5
-------------------------------------------------------------------------------
6
-- File        : bench.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2004/12/23
9 5 arif_endro
-- Last update : 2005/01/08
10 13 arif_endro
-- Simulators  : 
11 2 arif_endro
-- Synthesizers: 
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Test bench for FM receiver
15
-------------------------------------------------------------------------------
16 13 arif_endro
-- Copyright (C) 2004 Arif E. Nugroho
17 2 arif_endro
-- This VHDL design file is an open design; you can redistribute it and/or
18
-- modify it and/or implement it after contacting the author
19
-------------------------------------------------------------------------------
20 13 arif_endro
-------------------------------------------------------------------------------
21
-- 
22
--      THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
23
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
24
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
25
-- ASSOCIATED DISCLAIMER.
26
-- 
27
-------------------------------------------------------------------------------
28
-- 
29
--      THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
30
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
31
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
32
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
33
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
34
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
35
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
36
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
37
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
38
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
39
-- 
40
-------------------------------------------------------------------------------
41 2 arif_endro
 
42
library IEEE;
43
use IEEE.STD_LOGIC_1164.ALL;
44
 
45
entity bench is
46
port (
47
    clock               : in  bit;
48 5 arif_endro
    reset               : in  bit;
49
    output_fm           : out bit_vector (11 downto 0);
50
    output_fmTri        : out bit_vector (11 downto 0)
51 2 arif_endro
    );
52
end bench;
53
 
54
architecture structural of bench is
55
  component fm
56
  port (
57
    CLK              : in  bit;
58
    RESET            : in  bit;
59
    FMIN             : in  bit_vector (07 downto 0);
60
    DMOUT            : out bit_vector (11 downto 0)
61
    );
62
  end component;
63
 
64
  component input_fm
65
  port (
66
    clock            : in  bit;
67
    clear            : in  bit;
68
    test_signal_fm   : out bit_vector (07 downto 0);
69
    test_signal_fmTri: out bit_vector (07 downto 0)
70
    );
71
  end component;
72
 
73
  signal test_signal_fm : bit_vector (07 downto 0);
74
  signal test_signal_fmTri : bit_vector (07 downto 0);
75
 
76
  begin
77
 
78
 myinput : input_fm
79
   port map (
80
    clock            => clock,
81
    clear            => reset,
82
    test_signal_fm   => test_signal_fm,
83
    test_signal_fmTri=> test_signal_fmTri
84
    );
85 5 arif_endro
 
86 2 arif_endro
  myfm : fm
87
   port map (
88
    CLK                  => clock,
89
    RESET                => reset,
90
    FMIN                 => test_signal_fm,
91
    DMOUT (11 downto 0)  => output_fm
92
    );
93 5 arif_endro
 
94
  myfmTri : fm
95
   port map (
96
    CLK                  => clock,
97
    RESET                => reset,
98
    FMIN                 => test_signal_fmTri,
99
    DMOUT (11 downto 0)  => output_fmTri
100
    );
101
 
102
 
103 2 arif_endro
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.