OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [bench/] [bench.vhdl] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 arif_endro
-- $Id: bench.vhdl,v 1.2 2005-01-10 02:33:54 arif_endro Exp $
2 2 arif_endro
-------------------------------------------------------------------------------
3
-- Title       : Test Bench
4
-- Project     : FM Receiver 
5
-------------------------------------------------------------------------------
6
-- File        : bench.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2004/12/23
9 5 arif_endro
-- Last update : 2005/01/08
10 2 arif_endro
-- Simulators  : Modelsim 6.0
11
-- Synthesizers: 
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Test bench for FM receiver
15
-------------------------------------------------------------------------------
16
-- Copyright (c) 2004 Arif E. Nugroho
17
-- This VHDL design file is an open design; you can redistribute it and/or
18
-- modify it and/or implement it after contacting the author
19
-------------------------------------------------------------------------------
20
 
21
library IEEE;
22
use IEEE.STD_LOGIC_1164.ALL;
23
use IEEE.STD_LOGIC_arith.ALL;
24
use IEEE.STD_LOGIC_unsigned.ALL;
25
 
26
entity bench is
27
port (
28
    clock               : in  bit;
29 5 arif_endro
    reset               : in  bit;
30
    output_fm           : out bit_vector (11 downto 0);
31
    output_fmTri        : out bit_vector (11 downto 0)
32 2 arif_endro
    );
33
end bench;
34
 
35
architecture structural of bench is
36
  component fm
37
  port (
38
    CLK              : in  bit;
39
    RESET            : in  bit;
40
    FMIN             : in  bit_vector (07 downto 0);
41
    DMOUT            : out bit_vector (11 downto 0)
42
    );
43
  end component;
44
 
45
  component input_fm
46
  port (
47
    clock            : in  bit;
48
    clear            : in  bit;
49
    test_signal_fm   : out bit_vector (07 downto 0);
50
    test_signal_fmTri: out bit_vector (07 downto 0)
51
    );
52
  end component;
53
 
54
  signal test_signal_fm : bit_vector (07 downto 0);
55
  signal test_signal_fmTri : bit_vector (07 downto 0);
56
 
57
  begin
58
 
59
 myinput : input_fm
60
   port map (
61
    clock            => clock,
62
    clear            => reset,
63
    test_signal_fm   => test_signal_fm,
64
    test_signal_fmTri=> test_signal_fmTri
65
    );
66 5 arif_endro
 
67 2 arif_endro
  myfm : fm
68
   port map (
69
    CLK                  => clock,
70
    RESET                => reset,
71
    FMIN                 => test_signal_fm,
72
    DMOUT (11 downto 0)  => output_fm
73
    );
74 5 arif_endro
 
75
  myfmTri : fm
76
   port map (
77
    CLK                  => clock,
78
    RESET                => reset,
79
    FMIN                 => test_signal_fmTri,
80
    DMOUT (11 downto 0)  => output_fmTri
81
    );
82
 
83
 
84 2 arif_endro
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.