OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [bench/] [input_fm.vhdl] - Blame information for rev 13

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 arif_endro
-- $Id: input_fm.vhdl,v 1.3 2005-02-21 06:51:19 arif_endro Exp $
2 2 arif_endro
-------------------------------------------------------------------------------
3
-- Title       : Input signal FM
4
-- Project     : FM Receiver 
5
-------------------------------------------------------------------------------
6
-- File        : input_fm.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2004/12/22
9
-- Last update : 
10 13 arif_endro
-- Simulators  :
11 2 arif_endro
-- Synthesizers: 
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Input signal FM 1000 signal
15
-------------------------------------------------------------------------------
16 13 arif_endro
-- Copyright (C) 2004 Arif E. Nugroho
17 2 arif_endro
-- This VHDL design file is an open design; you can redistribute it and/or
18
-- modify it and/or implement it after contacting the author
19
-------------------------------------------------------------------------------
20 13 arif_endro
-------------------------------------------------------------------------------
21
-- 
22
--      THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
23
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
24
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
25
-- ASSOCIATED DISCLAIMER.
26
-- 
27
-------------------------------------------------------------------------------
28
-- 
29
--      THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
30
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
31
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
32
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
33
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
34
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
35
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
36
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
37
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
38
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
39
-- 
40
-------------------------------------------------------------------------------
41 2 arif_endro
 
42
library IEEE;
43
use IEEE.std_logic_1164.all;
44
use IEEE.std_logic_arith.all;
45
 
46
entity input_fm is
47
   port (
48
--   clear              : in  bit;
49
--   clock_out          : out bit;
50
--   counter            : in bit_vector (09 downto 0);
51
   clock              : in  bit;
52
   clear              : in  bit;
53
   test_signal_fm     : out bit_vector (07 downto 0);
54
   test_signal_fmTri  : out bit_vector (07 downto 0)
55
   );
56
end input_fm;
57
 
58
architecture input_data of input_fm is
59
component adder_10bit
60
        port (
61
        addend_10bit          : in  bit_vector (09 downto 0);
62
        augend_10bit          : in  bit_vector (09 downto 0);
63
        adder10_output        : out bit_vector (10 downto 0)
64
        );
65
end component;
66
 
67
-- signal clock                 : bit;
68
-- signal clear                 : bit;
69
signal test_signal_fm_int    : bit_vector (07 downto 0);
70
signal test_signal_fmTri_int : bit_vector (07 downto 0);
71
signal counter               : bit_vector (09 downto 0);
72
signal counter_tmp           : bit_vector (10 downto 0);
73
signal one_increment         : bit_vector (09 downto 0);
74
-- signal counter               : bit_vector (09 downto 0);
75
begin
76
 
77
 
78
    one_increment (00) <= '1';
79
    one_increment (01) <= '0';
80
    one_increment (02) <= '0';
81
    one_increment (03) <= '0';
82
    one_increment (04) <= '0';
83
    one_increment (05) <= '0';
84
    one_increment (06) <= '0';
85
    one_increment (07) <= '0';
86
    one_increment (08) <= '0';
87
    one_increment (09) <= '0';
88
-- process
89
--      variable delay_time : time := 1ns; 
90
--      begin
91
--      wait for delay_time;
92
--      clock <= not(clock);
93
--      clock <= '0';
94
--      clock <= '1';
95
-- end process;
96
 
97
-- process
98
--      variable run_time : time := 1024ns;
99
--      begin
100
--      wait for run_time;
101
--      clear <= '1';
102
--      exit;
103
-- end process;
104
 
105
counter_one : adder_10bit
106
    port map (
107
    addend_10bit   => counter,
108
    augend_10bit   => one_increment,
109
    adder10_output => counter_tmp
110
    );
111
 
112
process (clock, clear)
113
begin
114
--    if (clear = '1') then
115
--              counter (09 downto 0) <= (others => B"0000000000");
116
--    elsif (((clock = '1') and clock'event) and (not(clear = '1')) then
117 4 arif_endro
    if (clear = '1') then
118
                counter           <= (others => '0');
119
                test_signal_fm    <= (others => '0');
120
                test_signal_fmTri <= (others => '0');
121
    elsif (((clock = '1') and (not( clear = '1'))) and clock'event) then
122 2 arif_endro
                counter(09 downto 0) <= counter_tmp(09 downto 0);
123
                -- clock_out         <= clock;
124
                test_signal_fm    <= test_signal_fm_int;
125
                test_signal_fmTri <= test_signal_fmTri_int;
126
    end if;
127
end process;
128
 
129
        with counter (09 downto 0) select
130
        test_signal_fm_int <=
131
-- START INPUT FM SIGNAL
132
 
133
        B"01111111" when B"0000000000",  -- INDEX 0
134
        B"01110110" when B"0000000001",  -- INDEX 1
135
        B"01011010" when B"0000000010",  -- INDEX 2
136
        B"00110000" when B"0000000011",  -- INDEX 3
137
        B"11111110" when B"0000000100",  -- INDEX 4
138
        B"11001101" when B"0000000101",  -- INDEX 5
139
        B"10100011" when B"0000000110",  -- INDEX 6
140
        B"10001000" when B"0000000111",  -- INDEX 7
141
        B"10000000" when B"0000001000",  -- INDEX 8
142
        B"10001100" when B"0000001001",  -- INDEX 9
143
        B"10101001" when B"0000001010",  -- INDEX 10
144
        B"11010100" when B"0000001011",  -- INDEX 11
145
        B"00000110" when B"0000001100",  -- INDEX 12
146
        B"00110111" when B"0000001101",  -- INDEX 13
147
        B"01011111" when B"0000001110",  -- INDEX 14
148
        B"01111001" when B"0000001111",  -- INDEX 15
149
        B"01111111" when B"0000010000",  -- INDEX 16
150
        B"01110011" when B"0000010001",  -- INDEX 17
151
        B"01010100" when B"0000010010",  -- INDEX 18
152
        B"00101000" when B"0000010011",  -- INDEX 19
153
        B"11110110" when B"0000010100",  -- INDEX 20
154
        B"11000101" when B"0000010101",  -- INDEX 21
155
        B"10011110" when B"0000010110",  -- INDEX 22
156
        B"10000110" when B"0000010111",  -- INDEX 23
157
        B"10000001" when B"0000011000",  -- INDEX 24
158
        B"10001111" when B"0000011001",  -- INDEX 25
159
        B"10101111" when B"0000011010",  -- INDEX 26
160
        B"11011100" when B"0000011011",  -- INDEX 27
161
        B"00001110" when B"0000011100",  -- INDEX 28
162
        B"00111110" when B"0000011101",  -- INDEX 29
163
        B"01100101" when B"0000011110",  -- INDEX 30
164
        B"01111011" when B"0000011111",  -- INDEX 31
165
        B"01111111" when B"0000100000",  -- INDEX 32
166
        B"01101111" when B"0000100001",  -- INDEX 33
167
        B"01001110" when B"0000100010",  -- INDEX 34
168
        B"00100000" when B"0000100011",  -- INDEX 35
169
        B"11101110" when B"0000100100",  -- INDEX 36
170
        B"10111110" when B"0000100101",  -- INDEX 37
171
        B"10011001" when B"0000100110",  -- INDEX 38
172
        B"10000100" when B"0000100111",  -- INDEX 39
173
        B"10000010" when B"0000101000",  -- INDEX 40
174
        B"10010011" when B"0000101001",  -- INDEX 41
175
        B"10110110" when B"0000101010",  -- INDEX 42
176
        B"11100100" when B"0000101011",  -- INDEX 43
177
        B"00010110" when B"0000101100",  -- INDEX 44
178
        B"01000101" when B"0000101101",  -- INDEX 45
179
        B"01101001" when B"0000101110",  -- INDEX 46
180
        B"01111101" when B"0000101111",  -- INDEX 47
181
        B"01111110" when B"0000110000",  -- INDEX 48
182
        B"01101011" when B"0000110001",  -- INDEX 49
183
        B"01000111" when B"0000110010",  -- INDEX 50
184
        B"00011000" when B"0000110011",  -- INDEX 51
185
        B"11100110" when B"0000110100",  -- INDEX 52
186
        B"10111000" when B"0000110101",  -- INDEX 53
187
        B"10010100" when B"0000110110",  -- INDEX 54
188
        B"10000010" when B"0000110111",  -- INDEX 55
189
        B"10000011" when B"0000111000",  -- INDEX 56
190
        B"10011000" when B"0000111001",  -- INDEX 57
191
        B"10111100" when B"0000111010",  -- INDEX 58
192
        B"11101011" when B"0000111011",  -- INDEX 59
193
        B"00011110" when B"0000111100",  -- INDEX 60
194
        B"01001100" when B"0000111101",  -- INDEX 61
195
        B"01101110" when B"0000111110",  -- INDEX 62
196
        B"01111111" when B"0000111111",  -- INDEX 63
197
        B"01111100" when B"0001000000",  -- INDEX 64
198
        B"01100110" when B"0001000001",  -- INDEX 65
199
        B"01000000" when B"0001000010",  -- INDEX 66
200
        B"00010001" when B"0001000011",  -- INDEX 67
201
        B"11011110" when B"0001000100",  -- INDEX 68
202
        B"10110001" when B"0001000101",  -- INDEX 69
203
        B"10010000" when B"0001000110",  -- INDEX 70
204
        B"10000001" when B"0001000111",  -- INDEX 71
205
        B"10000101" when B"0001001000",  -- INDEX 72
206
        B"10011100" when B"0001001001",  -- INDEX 73
207
        B"11000011" when B"0001001010",  -- INDEX 74
208
        B"11110011" when B"0001001011",  -- INDEX 75
209
        B"00100110" when B"0001001100",  -- INDEX 76
210
        B"01010010" when B"0001001101",  -- INDEX 77
211
        B"01110010" when B"0001001110",  -- INDEX 78
212
        B"01111111" when B"0001001111",  -- INDEX 79
213
        B"01111010" when B"0001010000",  -- INDEX 80
214
        B"01100001" when B"0001010001",  -- INDEX 81
215
        B"00111001" when B"0001010010",  -- INDEX 82
216
        B"00001001" when B"0001010011",  -- INDEX 83
217
        B"11010111" when B"0001010100",  -- INDEX 84
218
        B"10101011" when B"0001010101",  -- INDEX 85
219
        B"10001101" when B"0001010110",  -- INDEX 86
220
        B"10000000" when B"0001010111",  -- INDEX 87
221
        B"10001000" when B"0001011000",  -- INDEX 88
222
        B"10100010" when B"0001011001",  -- INDEX 89
223
        B"11001010" when B"0001011010",  -- INDEX 90
224
        B"11111011" when B"0001011011",  -- INDEX 91
225
        B"00101101" when B"0001011100",  -- INDEX 92
226
        B"01011000" when B"0001011101",  -- INDEX 93
227
        B"01110101" when B"0001011110",  -- INDEX 94
228
        B"01111111" when B"0001011111",  -- INDEX 95
229
        B"01110111" when B"0001100000",  -- INDEX 96
230
        B"01011100" when B"0001100001",  -- INDEX 97
231
        B"00110010" when B"0001100010",  -- INDEX 98
232
        B"00000001" when B"0001100011",  -- INDEX 99
233
        B"11001111" when B"0001100100",  -- INDEX 100
234
        B"10100101" when B"0001100101",  -- INDEX 101
235
        B"10001001" when B"0001100110",  -- INDEX 102
236
        B"10000000" when B"0001100111",  -- INDEX 103
237
        B"10001011" when B"0001101000",  -- INDEX 104
238
        B"10100111" when B"0001101001",  -- INDEX 105
239
        B"11010010" when B"0001101010",  -- INDEX 106
240
        B"00000100" when B"0001101011",  -- INDEX 107
241
        B"00110101" when B"0001101100",  -- INDEX 108
242
        B"01011110" when B"0001101101",  -- INDEX 109
243
        B"01111000" when B"0001101110",  -- INDEX 110
244
        B"01111111" when B"0001101111",  -- INDEX 111
245
        B"01110100" when B"0001110000",  -- INDEX 112
246
        B"01010110" when B"0001110001",  -- INDEX 113
247
        B"00101010" when B"0001110010",  -- INDEX 114
248
        B"11111000" when B"0001110011",  -- INDEX 115
249
        B"11001000" when B"0001110100",  -- INDEX 116
250
        B"10100000" when B"0001110101",  -- INDEX 117
251
        B"10000111" when B"0001110110",  -- INDEX 118
252
        B"10000000" when B"0001110111",  -- INDEX 119
253
        B"10001110" when B"0001111000",  -- INDEX 120
254
        B"10101101" when B"0001111001",  -- INDEX 121
255
        B"11011001" when B"0001111010",  -- INDEX 122
256
        B"00001100" when B"0001111011",  -- INDEX 123
257
        B"00111100" when B"0001111100",  -- INDEX 124
258
        B"01100011" when B"0001111101",  -- INDEX 125
259
        B"01111011" when B"0001111110",  -- INDEX 126
260
        B"01111111" when B"0001111111",  -- INDEX 127
261
        B"01110000" when B"0010000000",  -- INDEX 128
262
        B"01010000" when B"0010000001",  -- INDEX 129
263
        B"00100011" when B"0010000010",  -- INDEX 130
264
        B"11110000" when B"0010000011",  -- INDEX 131
265
        B"11000001" when B"0010000100",  -- INDEX 132
266
        B"10011011" when B"0010000101",  -- INDEX 133
267
        B"10000100" when B"0010000110",  -- INDEX 134
268
        B"10000001" when B"0010000111",  -- INDEX 135
269
        B"10010010" when B"0010001000",  -- INDEX 136
270
        B"10110100" when B"0010001001",  -- INDEX 137
271
        B"11100001" when B"0010001010",  -- INDEX 138
272
        B"00010100" when B"0010001011",  -- INDEX 139
273
        B"01000011" when B"0010001100",  -- INDEX 140
274
        B"01101000" when B"0010001101",  -- INDEX 141
275
        B"01111101" when B"0010001110",  -- INDEX 142
276
        B"01111110" when B"0010001111",  -- INDEX 143
277
        B"01101100" when B"0010010000",  -- INDEX 144
278
        B"01001001" when B"0010010001",  -- INDEX 145
279
        B"00011011" when B"0010010010",  -- INDEX 146
280
        B"11101001" when B"0010010011",  -- INDEX 147
281
        B"10111010" when B"0010010100",  -- INDEX 148
282
        B"10010110" when B"0010010101",  -- INDEX 149
283
        B"10000010" when B"0010010110",  -- INDEX 150
284
        B"10000011" when B"0010010111",  -- INDEX 151
285
        B"10010110" when B"0010011000",  -- INDEX 152
286
        B"10111010" when B"0010011001",  -- INDEX 153
287
        B"11101001" when B"0010011010",  -- INDEX 154
288
        B"00011011" when B"0010011011",  -- INDEX 155
289
        B"01001010" when B"0010011100",  -- INDEX 156
290
        B"01101100" when B"0010011101",  -- INDEX 157
291
        B"01111110" when B"0010011110",  -- INDEX 158
292
        B"01111101" when B"0010011111",  -- INDEX 159
293
        B"01101000" when B"0010100000",  -- INDEX 160
294
        B"01000010" when B"0010100001",  -- INDEX 161
295
        B"00010011" when B"0010100010",  -- INDEX 162
296
        B"11100001" when B"0010100011",  -- INDEX 163
297
        B"10110011" when B"0010100100",  -- INDEX 164
298
        B"10010010" when B"0010100101",  -- INDEX 165
299
        B"10000001" when B"0010100110",  -- INDEX 166
300
        B"10000100" when B"0010100111",  -- INDEX 167
301
        B"10011011" when B"0010101000",  -- INDEX 168
302
        B"11000001" when B"0010101001",  -- INDEX 169
303
        B"11110001" when B"0010101010",  -- INDEX 170
304
        B"00100011" when B"0010101011",  -- INDEX 171
305
        B"01010000" when B"0010101100",  -- INDEX 172
306
        B"01110000" when B"0010101101",  -- INDEX 173
307
        B"01111111" when B"0010101110",  -- INDEX 174
308
        B"01111010" when B"0010101111",  -- INDEX 175
309
        B"01100011" when B"0010110000",  -- INDEX 176
310
        B"00111011" when B"0010110001",  -- INDEX 177
311
        B"00001011" when B"0010110010",  -- INDEX 178
312
        B"11011001" when B"0010110011",  -- INDEX 179
313
        B"10101101" when B"0010110100",  -- INDEX 180
314
        B"10001110" when B"0010110101",  -- INDEX 181
315
        B"10000000" when B"0010110110",  -- INDEX 182
316
        B"10000111" when B"0010110111",  -- INDEX 183
317
        B"10100000" when B"0010111000",  -- INDEX 184
318
        B"11001000" when B"0010111001",  -- INDEX 185
319
        B"11111001" when B"0010111010",  -- INDEX 186
320
        B"00101011" when B"0010111011",  -- INDEX 187
321
        B"01010110" when B"0010111100",  -- INDEX 188
322
        B"01110100" when B"0010111101",  -- INDEX 189
323
        B"01111111" when B"0010111110",  -- INDEX 190
324
        B"01111000" when B"0010111111",  -- INDEX 191
325
        B"01011101" when B"0011000000",  -- INDEX 192
326
        B"00110100" when B"0011000001",  -- INDEX 193
327
        B"00000011" when B"0011000010",  -- INDEX 194
328
        B"11010001" when B"0011000011",  -- INDEX 195
329
        B"10100111" when B"0011000100",  -- INDEX 196
330
        B"10001010" when B"0011000101",  -- INDEX 197
331
        B"10000000" when B"0011000110",  -- INDEX 198
332
        B"10001010" when B"0011000111",  -- INDEX 199
333
        B"10100101" when B"0011001000",  -- INDEX 200
334
        B"11001111" when B"0011001001",  -- INDEX 201
335
        B"11111111" when B"0011001010",  -- INDEX 202
336
        B"00110000" when B"0011001011",  -- INDEX 203
337
        B"01011001" when B"0011001100",  -- INDEX 204
338
        B"01110101" when B"0011001101",  -- INDEX 205
339
        B"01111111" when B"0011001110",  -- INDEX 206
340
        B"01111000" when B"0011001111",  -- INDEX 207
341
        B"01011101" when B"0011010000",  -- INDEX 208
342
        B"00110101" when B"0011010001",  -- INDEX 209
343
        B"00000101" when B"0011010010",  -- INDEX 210
344
        B"11010100" when B"0011010011",  -- INDEX 211
345
        B"10101010" when B"0011010100",  -- INDEX 212
346
        B"10001100" when B"0011010101",  -- INDEX 213
347
        B"10000000" when B"0011010110",  -- INDEX 214
348
        B"10000111" when B"0011010111",  -- INDEX 215
349
        B"10100000" when B"0011011000",  -- INDEX 216
350
        B"11000111" when B"0011011001",  -- INDEX 217
351
        B"11110111" when B"0011011010",  -- INDEX 218
352
        B"00101000" when B"0011011011",  -- INDEX 219
353
        B"01010011" when B"0011011100",  -- INDEX 220
354
        B"01110010" when B"0011011101",  -- INDEX 221
355
        B"01111111" when B"0011011110",  -- INDEX 222
356
        B"01111010" when B"0011011111",  -- INDEX 223
357
        B"01100011" when B"0011100000",  -- INDEX 224
358
        B"00111100" when B"0011100001",  -- INDEX 225
359
        B"00001101" when B"0011100010",  -- INDEX 226
360
        B"11011100" when B"0011100011",  -- INDEX 227
361
        B"10110000" when B"0011100100",  -- INDEX 228
362
        B"10010000" when B"0011100101",  -- INDEX 229
363
        B"10000001" when B"0011100110",  -- INDEX 230
364
        B"10000101" when B"0011100111",  -- INDEX 231
365
        B"10011011" when B"0011101000",  -- INDEX 232
366
        B"11000000" when B"0011101001",  -- INDEX 233
367
        B"11101111" when B"0011101010",  -- INDEX 234
368
        B"00100000" when B"0011101011",  -- INDEX 235
369
        B"01001101" when B"0011101100",  -- INDEX 236
370
        B"01101110" when B"0011101101",  -- INDEX 237
371
        B"01111111" when B"0011101110",  -- INDEX 238
372
        B"01111100" when B"0011101111",  -- INDEX 239
373
        B"01101000" when B"0011110000",  -- INDEX 240
374
        B"01000011" when B"0011110001",  -- INDEX 241
375
        B"00010101" when B"0011110010",  -- INDEX 242
376
        B"11100100" when B"0011110011",  -- INDEX 243
377
        B"10110110" when B"0011110100",  -- INDEX 244
378
        B"10010100" when B"0011110101",  -- INDEX 245
379
        B"10000010" when B"0011110110",  -- INDEX 246
380
        B"10000011" when B"0011110111",  -- INDEX 247
381
        B"10010110" when B"0011111000",  -- INDEX 248
382
        B"10111001" when B"0011111001",  -- INDEX 249
383
        B"11100111" when B"0011111010",  -- INDEX 250
384
        B"00011000" when B"0011111011",  -- INDEX 251
385
        B"01000110" when B"0011111100",  -- INDEX 252
386
        B"01101010" when B"0011111101",  -- INDEX 253
387
        B"01111101" when B"0011111110",  -- INDEX 254
388
        B"01111110" when B"0011111111",  -- INDEX 255
389
        B"01101100" when B"0100000000",  -- INDEX 256
390
        B"01001010" when B"0100000001",  -- INDEX 257
391
        B"00011101" when B"0100000010",  -- INDEX 258
392
        B"11101011" when B"0100000011",  -- INDEX 259
393
        B"10111101" when B"0100000100",  -- INDEX 260
394
        B"10011001" when B"0100000101",  -- INDEX 261
395
        B"10000100" when B"0100000110",  -- INDEX 262
396
        B"10000001" when B"0100000111",  -- INDEX 263
397
        B"10010010" when B"0100001000",  -- INDEX 264
398
        B"10110011" when B"0100001001",  -- INDEX 265
399
        B"11011111" when B"0100001010",  -- INDEX 266
400
        B"00010001" when B"0100001011",  -- INDEX 267
401
        B"00111111" when B"0100001100",  -- INDEX 268
402
        B"01100101" when B"0100001101",  -- INDEX 269
403
        B"01111011" when B"0100001110",  -- INDEX 270
404
        B"01111111" when B"0100001111",  -- INDEX 271
405
        B"01110000" when B"0100010000",  -- INDEX 272
406
        B"01010000" when B"0100010001",  -- INDEX 273
407
        B"00100101" when B"0100010010",  -- INDEX 274
408
        B"11110011" when B"0100010011",  -- INDEX 275
409
        B"11000100" when B"0100010100",  -- INDEX 276
410
        B"10011110" when B"0100010101",  -- INDEX 277
411
        B"10000110" when B"0100010110",  -- INDEX 278
412
        B"10000000" when B"0100010111",  -- INDEX 279
413
        B"10001110" when B"0100011000",  -- INDEX 280
414
        B"10101100" when B"0100011001",  -- INDEX 281
415
        B"11010111" when B"0100011010",  -- INDEX 282
416
        B"00001001" when B"0100011011",  -- INDEX 283
417
        B"00111000" when B"0100011100",  -- INDEX 284
418
        B"01100000" when B"0100011101",  -- INDEX 285
419
        B"01111001" when B"0100011110",  -- INDEX 286
420
        B"01111111" when B"0100011111",  -- INDEX 287
421
        B"01110100" when B"0100100000",  -- INDEX 288
422
        B"01010111" when B"0100100001",  -- INDEX 289
423
        B"00101100" when B"0100100010",  -- INDEX 290
424
        B"11111011" when B"0100100011",  -- INDEX 291
425
        B"11001011" when B"0100100100",  -- INDEX 292
426
        B"10100011" when B"0100100101",  -- INDEX 293
427
        B"10001001" when B"0100100110",  -- INDEX 294
428
        B"10000000" when B"0100100111",  -- INDEX 295
429
        B"10001011" when B"0100101000",  -- INDEX 296
430
        B"10100111" when B"0100101001",  -- INDEX 297
431
        B"11010000" when B"0100101010",  -- INDEX 298
432
        B"00000001" when B"0100101011",  -- INDEX 299
433
        B"00110001" when B"0100101100",  -- INDEX 300
434
        B"01011010" when B"0100101101",  -- INDEX 301
435
        B"01110110" when B"0100101110",  -- INDEX 302
436
        B"01111111" when B"0100101111",  -- INDEX 303
437
        B"01110111" when B"0100110000",  -- INDEX 304
438
        B"01011100" when B"0100110001",  -- INDEX 305
439
        B"00110100" when B"0100110010",  -- INDEX 306
440
        B"00000100" when B"0100110011",  -- INDEX 307
441
        B"11010011" when B"0100110100",  -- INDEX 308
442
        B"10101001" when B"0100110101",  -- INDEX 309
443
        B"10001100" when B"0100110110",  -- INDEX 310
444
        B"10000000" when B"0100110111",  -- INDEX 311
445
        B"10001000" when B"0100111000",  -- INDEX 312
446
        B"10100001" when B"0100111001",  -- INDEX 313
447
        B"11001001" when B"0100111010",  -- INDEX 314
448
        B"11111000" when B"0100111011",  -- INDEX 315
449
        B"00101001" when B"0100111100",  -- INDEX 316
450
        B"01010100" when B"0100111101",  -- INDEX 317
451
        B"01110011" when B"0100111110",  -- INDEX 318
452
        B"01111111" when B"0100111111",  -- INDEX 319
453
        B"01111010" when B"0101000000",  -- INDEX 320
454
        B"01100010" when B"0101000001",  -- INDEX 321
455
        B"00111011" when B"0101000010",  -- INDEX 322
456
        B"00001100" when B"0101000011",  -- INDEX 323
457
        B"11011010" when B"0101000100",  -- INDEX 324
458
        B"10101111" when B"0101000101",  -- INDEX 325
459
        B"10001111" when B"0101000110",  -- INDEX 326
460
        B"10000001" when B"0101000111",  -- INDEX 327
461
        B"10000101" when B"0101001000",  -- INDEX 328
462
        B"10011100" when B"0101001001",  -- INDEX 329
463
        B"11000001" when B"0101001010",  -- INDEX 330
464
        B"11110000" when B"0101001011",  -- INDEX 331
465
        B"00100010" when B"0101001100",  -- INDEX 332
466
        B"01001110" when B"0101001101",  -- INDEX 333
467
        B"01101111" when B"0101001110",  -- INDEX 334
468
        B"01111111" when B"0101001111",  -- INDEX 335
469
        B"01111100" when B"0101010000",  -- INDEX 336
470
        B"01100111" when B"0101010001",  -- INDEX 337
471
        B"01000010" when B"0101010010",  -- INDEX 338
472
        B"00010100" when B"0101010011",  -- INDEX 339
473
        B"11100010" when B"0101010100",  -- INDEX 340
474
        B"10110101" when B"0101010101",  -- INDEX 341
475
        B"10010011" when B"0101010110",  -- INDEX 342
476
        B"10000010" when B"0101010111",  -- INDEX 343
477
        B"10000011" when B"0101011000",  -- INDEX 344
478
        B"10010111" when B"0101011001",  -- INDEX 345
479
        B"10111011" when B"0101011010",  -- INDEX 346
480
        B"11101001" when B"0101011011",  -- INDEX 347
481
        B"00011010" when B"0101011100",  -- INDEX 348
482
        B"01001000" when B"0101011101",  -- INDEX 349
483
        B"01101010" when B"0101011110",  -- INDEX 350
484
        B"01111101" when B"0101011111",  -- INDEX 351
485
        B"01111110" when B"0101100000",  -- INDEX 352
486
        B"01101011" when B"0101100001",  -- INDEX 353
487
        B"01001001" when B"0101100010",  -- INDEX 354
488
        B"00011011" when B"0101100011",  -- INDEX 355
489
        B"11101010" when B"0101100100",  -- INDEX 356
490
        B"10111100" when B"0101100101",  -- INDEX 357
491
        B"10011000" when B"0101100110",  -- INDEX 358
492
        B"10000011" when B"0101100111",  -- INDEX 359
493
        B"10000010" when B"0101101000",  -- INDEX 360
494
        B"10010011" when B"0101101001",  -- INDEX 361
495
        B"10110100" when B"0101101010",  -- INDEX 362
496
        B"11100001" when B"0101101011",  -- INDEX 363
497
        B"00010010" when B"0101101100",  -- INDEX 364
498
        B"01000001" when B"0101101101",  -- INDEX 365
499
        B"01100110" when B"0101101110",  -- INDEX 366
500
        B"01111100" when B"0101101111",  -- INDEX 367
501
        B"01111111" when B"0101110000",  -- INDEX 368
502
        B"01101111" when B"0101110001",  -- INDEX 369
503
        B"01001111" when B"0101110010",  -- INDEX 370
504
        B"00100011" when B"0101110011",  -- INDEX 371
505
        B"11110010" when B"0101110100",  -- INDEX 372
506
        B"11000011" when B"0101110101",  -- INDEX 373
507
        B"10011101" when B"0101110110",  -- INDEX 374
508
        B"10000110" when B"0101110111",  -- INDEX 375
509
        B"10000001" when B"0101111000",  -- INDEX 376
510
        B"10001111" when B"0101111001",  -- INDEX 377
511
        B"10101110" when B"0101111010",  -- INDEX 378
512
        B"11011001" when B"0101111011",  -- INDEX 379
513
        B"00001010" when B"0101111100",  -- INDEX 380
514
        B"00111010" when B"0101111101",  -- INDEX 381
515
        B"01100001" when B"0101111110",  -- INDEX 382
516
        B"01111001" when B"0101111111",  -- INDEX 383
517
        B"01111111" when B"0110000000",  -- INDEX 384
518
        B"01110011" when B"0110000001",  -- INDEX 385
519
        B"01010101" when B"0110000010",  -- INDEX 386
520
        B"00101011" when B"0110000011",  -- INDEX 387
521
        B"11111010" when B"0110000100",  -- INDEX 388
522
        B"11001010" when B"0110000101",  -- INDEX 389
523
        B"10100010" when B"0110000110",  -- INDEX 390
524
        B"10001000" when B"0110000111",  -- INDEX 391
525
        B"10000000" when B"0110001000",  -- INDEX 392
526
        B"10001011" when B"0110001001",  -- INDEX 393
527
        B"10101000" when B"0110001010",  -- INDEX 394
528
        B"11010001" when B"0110001011",  -- INDEX 395
529
        B"00000010" when B"0110001100",  -- INDEX 396
530
        B"00110010" when B"0110001101",  -- INDEX 397
531
        B"01011011" when B"0110001110",  -- INDEX 398
532
        B"01110110" when B"0110001111",  -- INDEX 399
533
        B"01111111" when B"0110010000",  -- INDEX 400
534
        B"01110110" when B"0110010001",  -- INDEX 401
535
        B"01011010" when B"0110010010",  -- INDEX 402
536
        B"00110000" when B"0110010011",  -- INDEX 403
537
        B"11111110" when B"0110010100",  -- INDEX 404
538
        B"11001101" when B"0110010101",  -- INDEX 405
539
        B"10100011" when B"0110010110",  -- INDEX 406
540
        B"10001000" when B"0110010111",  -- INDEX 407
541
        B"10000000" when B"0110011000",  -- INDEX 408
542
        B"10001100" when B"0110011001",  -- INDEX 409
543
        B"10101001" when B"0110011010",  -- INDEX 410
544
        B"11010100" when B"0110011011",  -- INDEX 411
545
        B"00000110" when B"0110011100",  -- INDEX 412
546
        B"00110111" when B"0110011101",  -- INDEX 413
547
        B"01011111" when B"0110011110",  -- INDEX 414
548
        B"01111001" when B"0110011111",  -- INDEX 415
549
        B"01111111" when B"0110100000",  -- INDEX 416
550
        B"01110011" when B"0110100001",  -- INDEX 417
551
        B"01010100" when B"0110100010",  -- INDEX 418
552
        B"00101000" when B"0110100011",  -- INDEX 419
553
        B"11110110" when B"0110100100",  -- INDEX 420
554
        B"11000101" when B"0110100101",  -- INDEX 421
555
        B"10011110" when B"0110100110",  -- INDEX 422
556
        B"10000110" when B"0110100111",  -- INDEX 423
557
        B"10000001" when B"0110101000",  -- INDEX 424
558
        B"10001111" when B"0110101001",  -- INDEX 425
559
        B"10101111" when B"0110101010",  -- INDEX 426
560
        B"11011100" when B"0110101011",  -- INDEX 427
561
        B"00001110" when B"0110101100",  -- INDEX 428
562
        B"00111110" when B"0110101101",  -- INDEX 429
563
        B"01100101" when B"0110101110",  -- INDEX 430
564
        B"01111011" when B"0110101111",  -- INDEX 431
565
        B"01111111" when B"0110110000",  -- INDEX 432
566
        B"01101111" when B"0110110001",  -- INDEX 433
567
        B"01001110" when B"0110110010",  -- INDEX 434
568
        B"00100000" when B"0110110011",  -- INDEX 435
569
        B"11101110" when B"0110110100",  -- INDEX 436
570
        B"10111110" when B"0110110101",  -- INDEX 437
571
        B"10011001" when B"0110110110",  -- INDEX 438
572
        B"10000100" when B"0110110111",  -- INDEX 439
573
        B"10000010" when B"0110111000",  -- INDEX 440
574
        B"10010011" when B"0110111001",  -- INDEX 441
575
        B"10110110" when B"0110111010",  -- INDEX 442
576
        B"11100100" when B"0110111011",  -- INDEX 443
577
        B"00010110" when B"0110111100",  -- INDEX 444
578
        B"01000101" when B"0110111101",  -- INDEX 445
579
        B"01101001" when B"0110111110",  -- INDEX 446
580
        B"01111101" when B"0110111111",  -- INDEX 447
581
        B"01111110" when B"0111000000",  -- INDEX 448
582
        B"01101011" when B"0111000001",  -- INDEX 449
583
        B"01000111" when B"0111000010",  -- INDEX 450
584
        B"00011000" when B"0111000011",  -- INDEX 451
585
        B"11100110" when B"0111000100",  -- INDEX 452
586
        B"10111000" when B"0111000101",  -- INDEX 453
587
        B"10010100" when B"0111000110",  -- INDEX 454
588
        B"10000010" when B"0111000111",  -- INDEX 455
589
        B"10000011" when B"0111001000",  -- INDEX 456
590
        B"10011000" when B"0111001001",  -- INDEX 457
591
        B"10111100" when B"0111001010",  -- INDEX 458
592
        B"11101011" when B"0111001011",  -- INDEX 459
593
        B"00011110" when B"0111001100",  -- INDEX 460
594
        B"01001100" when B"0111001101",  -- INDEX 461
595
        B"01101110" when B"0111001110",  -- INDEX 462
596
        B"01111111" when B"0111001111",  -- INDEX 463
597
        B"01111100" when B"0111010000",  -- INDEX 464
598
        B"01100110" when B"0111010001",  -- INDEX 465
599
        B"01000000" when B"0111010010",  -- INDEX 466
600
        B"00010001" when B"0111010011",  -- INDEX 467
601
        B"11011110" when B"0111010100",  -- INDEX 468
602
        B"10110001" when B"0111010101",  -- INDEX 469
603
        B"10010000" when B"0111010110",  -- INDEX 470
604
        B"10000001" when B"0111010111",  -- INDEX 471
605
        B"10000101" when B"0111011000",  -- INDEX 472
606
        B"10011100" when B"0111011001",  -- INDEX 473
607
        B"11000011" when B"0111011010",  -- INDEX 474
608
        B"11110011" when B"0111011011",  -- INDEX 475
609
        B"00100110" when B"0111011100",  -- INDEX 476
610
        B"01010010" when B"0111011101",  -- INDEX 477
611
        B"01110010" when B"0111011110",  -- INDEX 478
612
        B"01111111" when B"0111011111",  -- INDEX 479
613
        B"01111010" when B"0111100000",  -- INDEX 480
614
        B"01100001" when B"0111100001",  -- INDEX 481
615
        B"00111001" when B"0111100010",  -- INDEX 482
616
        B"00001001" when B"0111100011",  -- INDEX 483
617
        B"11010111" when B"0111100100",  -- INDEX 484
618
        B"10101011" when B"0111100101",  -- INDEX 485
619
        B"10001101" when B"0111100110",  -- INDEX 486
620
        B"10000000" when B"0111100111",  -- INDEX 487
621
        B"10001000" when B"0111101000",  -- INDEX 488
622
        B"10100010" when B"0111101001",  -- INDEX 489
623
        B"11001010" when B"0111101010",  -- INDEX 490
624
        B"11111011" when B"0111101011",  -- INDEX 491
625
        B"00101101" when B"0111101100",  -- INDEX 492
626
        B"01011000" when B"0111101101",  -- INDEX 493
627
        B"01110101" when B"0111101110",  -- INDEX 494
628
        B"01111111" when B"0111101111",  -- INDEX 495
629
        B"01110111" when B"0111110000",  -- INDEX 496
630
        B"01011100" when B"0111110001",  -- INDEX 497
631
        B"00110010" when B"0111110010",  -- INDEX 498
632
        B"00000001" when B"0111110011",  -- INDEX 499
633
        B"11001111" when B"0111110100",  -- INDEX 500
634
        B"10100101" when B"0111110101",  -- INDEX 501
635
        B"10001001" when B"0111110110",  -- INDEX 502
636
        B"10000000" when B"0111110111",  -- INDEX 503
637
        B"10001011" when B"0111111000",  -- INDEX 504
638
        B"10100111" when B"0111111001",  -- INDEX 505
639
        B"11010010" when B"0111111010",  -- INDEX 506
640
        B"00000100" when B"0111111011",  -- INDEX 507
641
        B"00110101" when B"0111111100",  -- INDEX 508
642
        B"01011110" when B"0111111101",  -- INDEX 509
643
        B"01111000" when B"0111111110",  -- INDEX 510
644
        B"01111111" when B"0111111111",  -- INDEX 511
645
        B"01110100" when B"1000000000",  -- INDEX 512
646
        B"01010110" when B"1000000001",  -- INDEX 513
647
        B"00101010" when B"1000000010",  -- INDEX 514
648
        B"11111000" when B"1000000011",  -- INDEX 515
649
        B"11001000" when B"1000000100",  -- INDEX 516
650
        B"10100000" when B"1000000101",  -- INDEX 517
651
        B"10000111" when B"1000000110",  -- INDEX 518
652
        B"10000000" when B"1000000111",  -- INDEX 519
653
        B"10001110" when B"1000001000",  -- INDEX 520
654
        B"10101101" when B"1000001001",  -- INDEX 521
655
        B"11011001" when B"1000001010",  -- INDEX 522
656
        B"00001100" when B"1000001011",  -- INDEX 523
657
        B"00111100" when B"1000001100",  -- INDEX 524
658
        B"01100011" when B"1000001101",  -- INDEX 525
659
        B"01111011" when B"1000001110",  -- INDEX 526
660
        B"01111111" when B"1000001111",  -- INDEX 527
661
        B"01110000" when B"1000010000",  -- INDEX 528
662
        B"01010000" when B"1000010001",  -- INDEX 529
663
        B"00100011" when B"1000010010",  -- INDEX 530
664
        B"11110000" when B"1000010011",  -- INDEX 531
665
        B"11000001" when B"1000010100",  -- INDEX 532
666
        B"10011011" when B"1000010101",  -- INDEX 533
667
        B"10000100" when B"1000010110",  -- INDEX 534
668
        B"10000001" when B"1000010111",  -- INDEX 535
669
        B"10010010" when B"1000011000",  -- INDEX 536
670
        B"10110100" when B"1000011001",  -- INDEX 537
671
        B"11100001" when B"1000011010",  -- INDEX 538
672
        B"00010100" when B"1000011011",  -- INDEX 539
673
        B"01000011" when B"1000011100",  -- INDEX 540
674
        B"01101000" when B"1000011101",  -- INDEX 541
675
        B"01111101" when B"1000011110",  -- INDEX 542
676
        B"01111110" when B"1000011111",  -- INDEX 543
677
        B"01101100" when B"1000100000",  -- INDEX 544
678
        B"01001001" when B"1000100001",  -- INDEX 545
679
        B"00011011" when B"1000100010",  -- INDEX 546
680
        B"11101001" when B"1000100011",  -- INDEX 547
681
        B"10111010" when B"1000100100",  -- INDEX 548
682
        B"10010110" when B"1000100101",  -- INDEX 549
683
        B"10000010" when B"1000100110",  -- INDEX 550
684
        B"10000011" when B"1000100111",  -- INDEX 551
685
        B"10010110" when B"1000101000",  -- INDEX 552
686
        B"10111010" when B"1000101001",  -- INDEX 553
687
        B"11101001" when B"1000101010",  -- INDEX 554
688
        B"00011011" when B"1000101011",  -- INDEX 555
689
        B"01001010" when B"1000101100",  -- INDEX 556
690
        B"01101100" when B"1000101101",  -- INDEX 557
691
        B"01111110" when B"1000101110",  -- INDEX 558
692
        B"01111101" when B"1000101111",  -- INDEX 559
693
        B"01101000" when B"1000110000",  -- INDEX 560
694
        B"01000010" when B"1000110001",  -- INDEX 561
695
        B"00010011" when B"1000110010",  -- INDEX 562
696
        B"11100001" when B"1000110011",  -- INDEX 563
697
        B"10110011" when B"1000110100",  -- INDEX 564
698
        B"10010010" when B"1000110101",  -- INDEX 565
699
        B"10000001" when B"1000110110",  -- INDEX 566
700
        B"10000100" when B"1000110111",  -- INDEX 567
701
        B"10011011" when B"1000111000",  -- INDEX 568
702
        B"11000001" when B"1000111001",  -- INDEX 569
703
        B"11110001" when B"1000111010",  -- INDEX 570
704
        B"00100011" when B"1000111011",  -- INDEX 571
705
        B"01010000" when B"1000111100",  -- INDEX 572
706
        B"01110000" when B"1000111101",  -- INDEX 573
707
        B"01111111" when B"1000111110",  -- INDEX 574
708
        B"01111010" when B"1000111111",  -- INDEX 575
709
        B"01100011" when B"1001000000",  -- INDEX 576
710
        B"00111011" when B"1001000001",  -- INDEX 577
711
        B"00001011" when B"1001000010",  -- INDEX 578
712
        B"11011001" when B"1001000011",  -- INDEX 579
713
        B"10101101" when B"1001000100",  -- INDEX 580
714
        B"10001110" when B"1001000101",  -- INDEX 581
715
        B"10000000" when B"1001000110",  -- INDEX 582
716
        B"10000111" when B"1001000111",  -- INDEX 583
717
        B"10100000" when B"1001001000",  -- INDEX 584
718
        B"11001000" when B"1001001001",  -- INDEX 585
719
        B"11111001" when B"1001001010",  -- INDEX 586
720
        B"00101011" when B"1001001011",  -- INDEX 587
721
        B"01010110" when B"1001001100",  -- INDEX 588
722
        B"01110100" when B"1001001101",  -- INDEX 589
723
        B"01111111" when B"1001001110",  -- INDEX 590
724
        B"01111000" when B"1001001111",  -- INDEX 591
725
        B"01011101" when B"1001010000",  -- INDEX 592
726
        B"00110100" when B"1001010001",  -- INDEX 593
727
        B"00000011" when B"1001010010",  -- INDEX 594
728
        B"11010001" when B"1001010011",  -- INDEX 595
729
        B"10100111" when B"1001010100",  -- INDEX 596
730
        B"10001010" when B"1001010101",  -- INDEX 597
731
        B"10000000" when B"1001010110",  -- INDEX 598
732
        B"10001010" when B"1001010111",  -- INDEX 599
733
        B"10100101" when B"1001011000",  -- INDEX 600
734
        B"11001111" when B"1001011001",  -- INDEX 601
735
        B"11111111" when B"1001011010",  -- INDEX 602
736
        B"00110000" when B"1001011011",  -- INDEX 603
737
        B"01011001" when B"1001011100",  -- INDEX 604
738
        B"01110101" when B"1001011101",  -- INDEX 605
739
        B"01111111" when B"1001011110",  -- INDEX 606
740
        B"01111000" when B"1001011111",  -- INDEX 607
741
        B"01011101" when B"1001100000",  -- INDEX 608
742
        B"00110101" when B"1001100001",  -- INDEX 609
743
        B"00000101" when B"1001100010",  -- INDEX 610
744
        B"11010100" when B"1001100011",  -- INDEX 611
745
        B"10101010" when B"1001100100",  -- INDEX 612
746
        B"10001100" when B"1001100101",  -- INDEX 613
747
        B"10000000" when B"1001100110",  -- INDEX 614
748
        B"10000111" when B"1001100111",  -- INDEX 615
749
        B"10100000" when B"1001101000",  -- INDEX 616
750
        B"11000111" when B"1001101001",  -- INDEX 617
751
        B"11110111" when B"1001101010",  -- INDEX 618
752
        B"00101000" when B"1001101011",  -- INDEX 619
753
        B"01010011" when B"1001101100",  -- INDEX 620
754
        B"01110010" when B"1001101101",  -- INDEX 621
755
        B"01111111" when B"1001101110",  -- INDEX 622
756
        B"01111010" when B"1001101111",  -- INDEX 623
757
        B"01100011" when B"1001110000",  -- INDEX 624
758
        B"00111100" when B"1001110001",  -- INDEX 625
759
        B"00001101" when B"1001110010",  -- INDEX 626
760
        B"11011100" when B"1001110011",  -- INDEX 627
761
        B"10110000" when B"1001110100",  -- INDEX 628
762
        B"10010000" when B"1001110101",  -- INDEX 629
763
        B"10000001" when B"1001110110",  -- INDEX 630
764
        B"10000101" when B"1001110111",  -- INDEX 631
765
        B"10011011" when B"1001111000",  -- INDEX 632
766
        B"11000000" when B"1001111001",  -- INDEX 633
767
        B"11101111" when B"1001111010",  -- INDEX 634
768
        B"00100000" when B"1001111011",  -- INDEX 635
769
        B"01001101" when B"1001111100",  -- INDEX 636
770
        B"01101110" when B"1001111101",  -- INDEX 637
771
        B"01111111" when B"1001111110",  -- INDEX 638
772
        B"01111100" when B"1001111111",  -- INDEX 639
773
        B"01101000" when B"1010000000",  -- INDEX 640
774
        B"01000011" when B"1010000001",  -- INDEX 641
775
        B"00010101" when B"1010000010",  -- INDEX 642
776
        B"11100100" when B"1010000011",  -- INDEX 643
777
        B"10110110" when B"1010000100",  -- INDEX 644
778
        B"10010100" when B"1010000101",  -- INDEX 645
779
        B"10000010" when B"1010000110",  -- INDEX 646
780
        B"10000011" when B"1010000111",  -- INDEX 647
781
        B"10010110" when B"1010001000",  -- INDEX 648
782
        B"10111001" when B"1010001001",  -- INDEX 649
783
        B"11100111" when B"1010001010",  -- INDEX 650
784
        B"00011000" when B"1010001011",  -- INDEX 651
785
        B"01000110" when B"1010001100",  -- INDEX 652
786
        B"01101010" when B"1010001101",  -- INDEX 653
787
        B"01111101" when B"1010001110",  -- INDEX 654
788
        B"01111110" when B"1010001111",  -- INDEX 655
789
        B"01101100" when B"1010010000",  -- INDEX 656
790
        B"01001010" when B"1010010001",  -- INDEX 657
791
        B"00011101" when B"1010010010",  -- INDEX 658
792
        B"11101011" when B"1010010011",  -- INDEX 659
793
        B"10111101" when B"1010010100",  -- INDEX 660
794
        B"10011001" when B"1010010101",  -- INDEX 661
795
        B"10000100" when B"1010010110",  -- INDEX 662
796
        B"10000001" when B"1010010111",  -- INDEX 663
797
        B"10010010" when B"1010011000",  -- INDEX 664
798
        B"10110011" when B"1010011001",  -- INDEX 665
799
        B"11011111" when B"1010011010",  -- INDEX 666
800
        B"00010001" when B"1010011011",  -- INDEX 667
801
        B"00111111" when B"1010011100",  -- INDEX 668
802
        B"01100101" when B"1010011101",  -- INDEX 669
803
        B"01111011" when B"1010011110",  -- INDEX 670
804
        B"01111111" when B"1010011111",  -- INDEX 671
805
        B"01110000" when B"1010100000",  -- INDEX 672
806
        B"01010000" when B"1010100001",  -- INDEX 673
807
        B"00100101" when B"1010100010",  -- INDEX 674
808
        B"11110011" when B"1010100011",  -- INDEX 675
809
        B"11000100" when B"1010100100",  -- INDEX 676
810
        B"10011110" when B"1010100101",  -- INDEX 677
811
        B"10000110" when B"1010100110",  -- INDEX 678
812
        B"10000000" when B"1010100111",  -- INDEX 679
813
        B"10001110" when B"1010101000",  -- INDEX 680
814
        B"10101100" when B"1010101001",  -- INDEX 681
815
        B"11010111" when B"1010101010",  -- INDEX 682
816
        B"00001001" when B"1010101011",  -- INDEX 683
817
        B"00111000" when B"1010101100",  -- INDEX 684
818
        B"01100000" when B"1010101101",  -- INDEX 685
819
        B"01111001" when B"1010101110",  -- INDEX 686
820
        B"01111111" when B"1010101111",  -- INDEX 687
821
        B"01110100" when B"1010110000",  -- INDEX 688
822
        B"01010111" when B"1010110001",  -- INDEX 689
823
        B"00101100" when B"1010110010",  -- INDEX 690
824
        B"11111011" when B"1010110011",  -- INDEX 691
825
        B"11001011" when B"1010110100",  -- INDEX 692
826
        B"10100011" when B"1010110101",  -- INDEX 693
827
        B"10001001" when B"1010110110",  -- INDEX 694
828
        B"10000000" when B"1010110111",  -- INDEX 695
829
        B"10001011" when B"1010111000",  -- INDEX 696
830
        B"10100111" when B"1010111001",  -- INDEX 697
831
        B"11010000" when B"1010111010",  -- INDEX 698
832
        B"00000001" when B"1010111011",  -- INDEX 699
833
        B"00110001" when B"1010111100",  -- INDEX 700
834
        B"01011010" when B"1010111101",  -- INDEX 701
835
        B"01110110" when B"1010111110",  -- INDEX 702
836
        B"01111111" when B"1010111111",  -- INDEX 703
837
        B"01110111" when B"1011000000",  -- INDEX 704
838
        B"01011100" when B"1011000001",  -- INDEX 705
839
        B"00110100" when B"1011000010",  -- INDEX 706
840
        B"00000100" when B"1011000011",  -- INDEX 707
841
        B"11010011" when B"1011000100",  -- INDEX 708
842
        B"10101001" when B"1011000101",  -- INDEX 709
843
        B"10001100" when B"1011000110",  -- INDEX 710
844
        B"10000000" when B"1011000111",  -- INDEX 711
845
        B"10001000" when B"1011001000",  -- INDEX 712
846
        B"10100001" when B"1011001001",  -- INDEX 713
847
        B"11001001" when B"1011001010",  -- INDEX 714
848
        B"11111000" when B"1011001011",  -- INDEX 715
849
        B"00101001" when B"1011001100",  -- INDEX 716
850
        B"01010100" when B"1011001101",  -- INDEX 717
851
        B"01110011" when B"1011001110",  -- INDEX 718
852
        B"01111111" when B"1011001111",  -- INDEX 719
853
        B"01111010" when B"1011010000",  -- INDEX 720
854
        B"01100010" when B"1011010001",  -- INDEX 721
855
        B"00111011" when B"1011010010",  -- INDEX 722
856
        B"00001100" when B"1011010011",  -- INDEX 723
857
        B"11011010" when B"1011010100",  -- INDEX 724
858
        B"10101111" when B"1011010101",  -- INDEX 725
859
        B"10001111" when B"1011010110",  -- INDEX 726
860
        B"10000001" when B"1011010111",  -- INDEX 727
861
        B"10000101" when B"1011011000",  -- INDEX 728
862
        B"10011100" when B"1011011001",  -- INDEX 729
863
        B"11000001" when B"1011011010",  -- INDEX 730
864
        B"11110000" when B"1011011011",  -- INDEX 731
865
        B"00100010" when B"1011011100",  -- INDEX 732
866
        B"01001110" when B"1011011101",  -- INDEX 733
867
        B"01101111" when B"1011011110",  -- INDEX 734
868
        B"01111111" when B"1011011111",  -- INDEX 735
869
        B"01111100" when B"1011100000",  -- INDEX 736
870
        B"01100111" when B"1011100001",  -- INDEX 737
871
        B"01000010" when B"1011100010",  -- INDEX 738
872
        B"00010100" when B"1011100011",  -- INDEX 739
873
        B"11100010" when B"1011100100",  -- INDEX 740
874
        B"10110101" when B"1011100101",  -- INDEX 741
875
        B"10010011" when B"1011100110",  -- INDEX 742
876
        B"10000010" when B"1011100111",  -- INDEX 743
877
        B"10000011" when B"1011101000",  -- INDEX 744
878
        B"10010111" when B"1011101001",  -- INDEX 745
879
        B"10111011" when B"1011101010",  -- INDEX 746
880
        B"11101001" when B"1011101011",  -- INDEX 747
881
        B"00011010" when B"1011101100",  -- INDEX 748
882
        B"01001000" when B"1011101101",  -- INDEX 749
883
        B"01101010" when B"1011101110",  -- INDEX 750
884
        B"01111101" when B"1011101111",  -- INDEX 751
885
        B"01111110" when B"1011110000",  -- INDEX 752
886
        B"01101011" when B"1011110001",  -- INDEX 753
887
        B"01001001" when B"1011110010",  -- INDEX 754
888
        B"00011011" when B"1011110011",  -- INDEX 755
889
        B"11101010" when B"1011110100",  -- INDEX 756
890
        B"10111100" when B"1011110101",  -- INDEX 757
891
        B"10011000" when B"1011110110",  -- INDEX 758
892
        B"10000011" when B"1011110111",  -- INDEX 759
893
        B"10000010" when B"1011111000",  -- INDEX 760
894
        B"10010011" when B"1011111001",  -- INDEX 761
895
        B"10110100" when B"1011111010",  -- INDEX 762
896
        B"11100001" when B"1011111011",  -- INDEX 763
897
        B"00010010" when B"1011111100",  -- INDEX 764
898
        B"01000001" when B"1011111101",  -- INDEX 765
899
        B"01100110" when B"1011111110",  -- INDEX 766
900
        B"01111100" when B"1011111111",  -- INDEX 767
901
        B"01111111" when B"1100000000",  -- INDEX 768
902
        B"01101111" when B"1100000001",  -- INDEX 769
903
        B"01001111" when B"1100000010",  -- INDEX 770
904
        B"00100011" when B"1100000011",  -- INDEX 771
905
        B"11110010" when B"1100000100",  -- INDEX 772
906
        B"11000011" when B"1100000101",  -- INDEX 773
907
        B"10011101" when B"1100000110",  -- INDEX 774
908
        B"10000110" when B"1100000111",  -- INDEX 775
909
        B"10000001" when B"1100001000",  -- INDEX 776
910
        B"10001111" when B"1100001001",  -- INDEX 777
911
        B"10101110" when B"1100001010",  -- INDEX 778
912
        B"11011001" when B"1100001011",  -- INDEX 779
913
        B"00001010" when B"1100001100",  -- INDEX 780
914
        B"00111010" when B"1100001101",  -- INDEX 781
915
        B"01100001" when B"1100001110",  -- INDEX 782
916
        B"01111001" when B"1100001111",  -- INDEX 783
917
        B"01111111" when B"1100010000",  -- INDEX 784
918
        B"01110011" when B"1100010001",  -- INDEX 785
919
        B"01010101" when B"1100010010",  -- INDEX 786
920
        B"00101011" when B"1100010011",  -- INDEX 787
921
        B"11111010" when B"1100010100",  -- INDEX 788
922
        B"11001010" when B"1100010101",  -- INDEX 789
923
        B"10100010" when B"1100010110",  -- INDEX 790
924
        B"10001000" when B"1100010111",  -- INDEX 791
925
        B"10000000" when B"1100011000",  -- INDEX 792
926
        B"10001011" when B"1100011001",  -- INDEX 793
927
        B"10101000" when B"1100011010",  -- INDEX 794
928
        B"11010001" when B"1100011011",  -- INDEX 795
929
        B"00000010" when B"1100011100",  -- INDEX 796
930
        B"00110010" when B"1100011101",  -- INDEX 797
931
        B"01011011" when B"1100011110",  -- INDEX 798
932
        B"01110110" when B"1100011111",  -- INDEX 799
933
        B"01111111" when B"1100100000",  -- INDEX 800
934
        B"01110110" when B"1100100001",  -- INDEX 801
935
        B"01011010" when B"1100100010",  -- INDEX 802
936
        B"00110000" when B"1100100011",  -- INDEX 803
937
        B"11111110" when B"1100100100",  -- INDEX 804
938
        B"11001101" when B"1100100101",  -- INDEX 805
939
        B"10100011" when B"1100100110",  -- INDEX 806
940
        B"10001000" when B"1100100111",  -- INDEX 807
941
        B"10000000" when B"1100101000",  -- INDEX 808
942
        B"10001100" when B"1100101001",  -- INDEX 809
943
        B"10101001" when B"1100101010",  -- INDEX 810
944
        B"11010100" when B"1100101011",  -- INDEX 811
945
        B"00000110" when B"1100101100",  -- INDEX 812
946
        B"00110111" when B"1100101101",  -- INDEX 813
947
        B"01011111" when B"1100101110",  -- INDEX 814
948
        B"01111001" when B"1100101111",  -- INDEX 815
949
        B"01111111" when B"1100110000",  -- INDEX 816
950
        B"01110011" when B"1100110001",  -- INDEX 817
951
        B"01010100" when B"1100110010",  -- INDEX 818
952
        B"00101000" when B"1100110011",  -- INDEX 819
953
        B"11110110" when B"1100110100",  -- INDEX 820
954
        B"11000101" when B"1100110101",  -- INDEX 821
955
        B"10011110" when B"1100110110",  -- INDEX 822
956
        B"10000110" when B"1100110111",  -- INDEX 823
957
        B"10000001" when B"1100111000",  -- INDEX 824
958
        B"10001111" when B"1100111001",  -- INDEX 825
959
        B"10101111" when B"1100111010",  -- INDEX 826
960
        B"11011100" when B"1100111011",  -- INDEX 827
961
        B"00001110" when B"1100111100",  -- INDEX 828
962
        B"00111110" when B"1100111101",  -- INDEX 829
963
        B"01100101" when B"1100111110",  -- INDEX 830
964
        B"01111011" when B"1100111111",  -- INDEX 831
965
        B"01111111" when B"1101000000",  -- INDEX 832
966
        B"01101111" when B"1101000001",  -- INDEX 833
967
        B"01001110" when B"1101000010",  -- INDEX 834
968
        B"00100000" when B"1101000011",  -- INDEX 835
969
        B"11101110" when B"1101000100",  -- INDEX 836
970
        B"10111110" when B"1101000101",  -- INDEX 837
971
        B"10011001" when B"1101000110",  -- INDEX 838
972
        B"10000100" when B"1101000111",  -- INDEX 839
973
        B"10000010" when B"1101001000",  -- INDEX 840
974
        B"10010011" when B"1101001001",  -- INDEX 841
975
        B"10110110" when B"1101001010",  -- INDEX 842
976
        B"11100100" when B"1101001011",  -- INDEX 843
977
        B"00010110" when B"1101001100",  -- INDEX 844
978
        B"01000101" when B"1101001101",  -- INDEX 845
979
        B"01101001" when B"1101001110",  -- INDEX 846
980
        B"01111101" when B"1101001111",  -- INDEX 847
981
        B"01111110" when B"1101010000",  -- INDEX 848
982
        B"01101011" when B"1101010001",  -- INDEX 849
983
        B"01000111" when B"1101010010",  -- INDEX 850
984
        B"00011000" when B"1101010011",  -- INDEX 851
985
        B"11100110" when B"1101010100",  -- INDEX 852
986
        B"10111000" when B"1101010101",  -- INDEX 853
987
        B"10010100" when B"1101010110",  -- INDEX 854
988
        B"10000010" when B"1101010111",  -- INDEX 855
989
        B"10000011" when B"1101011000",  -- INDEX 856
990
        B"10011000" when B"1101011001",  -- INDEX 857
991
        B"10111100" when B"1101011010",  -- INDEX 858
992
        B"11101011" when B"1101011011",  -- INDEX 859
993
        B"00011110" when B"1101011100",  -- INDEX 860
994
        B"01001100" when B"1101011101",  -- INDEX 861
995
        B"01101110" when B"1101011110",  -- INDEX 862
996
        B"01111111" when B"1101011111",  -- INDEX 863
997
        B"01111100" when B"1101100000",  -- INDEX 864
998
        B"01100110" when B"1101100001",  -- INDEX 865
999
        B"01000000" when B"1101100010",  -- INDEX 866
1000
        B"00010001" when B"1101100011",  -- INDEX 867
1001
        B"11011110" when B"1101100100",  -- INDEX 868
1002
        B"10110001" when B"1101100101",  -- INDEX 869
1003
        B"10010000" when B"1101100110",  -- INDEX 870
1004
        B"10000001" when B"1101100111",  -- INDEX 871
1005
        B"10000101" when B"1101101000",  -- INDEX 872
1006
        B"10011100" when B"1101101001",  -- INDEX 873
1007
        B"11000011" when B"1101101010",  -- INDEX 874
1008
        B"11110011" when B"1101101011",  -- INDEX 875
1009
        B"00100110" when B"1101101100",  -- INDEX 876
1010
        B"01010010" when B"1101101101",  -- INDEX 877
1011
        B"01110010" when B"1101101110",  -- INDEX 878
1012
        B"01111111" when B"1101101111",  -- INDEX 879
1013
        B"01111010" when B"1101110000",  -- INDEX 880
1014
        B"01100001" when B"1101110001",  -- INDEX 881
1015
        B"00111001" when B"1101110010",  -- INDEX 882
1016
        B"00001001" when B"1101110011",  -- INDEX 883
1017
        B"11010111" when B"1101110100",  -- INDEX 884
1018
        B"10101011" when B"1101110101",  -- INDEX 885
1019
        B"10001101" when B"1101110110",  -- INDEX 886
1020
        B"10000000" when B"1101110111",  -- INDEX 887
1021
        B"10001000" when B"1101111000",  -- INDEX 888
1022
        B"10100010" when B"1101111001",  -- INDEX 889
1023
        B"11001010" when B"1101111010",  -- INDEX 890
1024
        B"11111011" when B"1101111011",  -- INDEX 891
1025
        B"00101101" when B"1101111100",  -- INDEX 892
1026
        B"01011000" when B"1101111101",  -- INDEX 893
1027
        B"01110101" when B"1101111110",  -- INDEX 894
1028
        B"01111111" when B"1101111111",  -- INDEX 895
1029
        B"01110111" when B"1110000000",  -- INDEX 896
1030
        B"01011100" when B"1110000001",  -- INDEX 897
1031
        B"00110010" when B"1110000010",  -- INDEX 898
1032
        B"00000001" when B"1110000011",  -- INDEX 899
1033
        B"11001111" when B"1110000100",  -- INDEX 900
1034
        B"10100101" when B"1110000101",  -- INDEX 901
1035
        B"10001001" when B"1110000110",  -- INDEX 902
1036
        B"10000000" when B"1110000111",  -- INDEX 903
1037
        B"10001011" when B"1110001000",  -- INDEX 904
1038
        B"10100111" when B"1110001001",  -- INDEX 905
1039
        B"11010010" when B"1110001010",  -- INDEX 906
1040
        B"00000100" when B"1110001011",  -- INDEX 907
1041
        B"00110101" when B"1110001100",  -- INDEX 908
1042
        B"01011110" when B"1110001101",  -- INDEX 909
1043
        B"01111000" when B"1110001110",  -- INDEX 910
1044
        B"01111111" when B"1110001111",  -- INDEX 911
1045
        B"01110100" when B"1110010000",  -- INDEX 912
1046
        B"01010110" when B"1110010001",  -- INDEX 913
1047
        B"00101010" when B"1110010010",  -- INDEX 914
1048
        B"11111000" when B"1110010011",  -- INDEX 915
1049
        B"11001000" when B"1110010100",  -- INDEX 916
1050
        B"10100000" when B"1110010101",  -- INDEX 917
1051
        B"10000111" when B"1110010110",  -- INDEX 918
1052
        B"10000000" when B"1110010111",  -- INDEX 919
1053
        B"10001110" when B"1110011000",  -- INDEX 920
1054
        B"10101101" when B"1110011001",  -- INDEX 921
1055
        B"11011001" when B"1110011010",  -- INDEX 922
1056
        B"00001100" when B"1110011011",  -- INDEX 923
1057
        B"00111100" when B"1110011100",  -- INDEX 924
1058
        B"01100011" when B"1110011101",  -- INDEX 925
1059
        B"01111011" when B"1110011110",  -- INDEX 926
1060
        B"01111111" when B"1110011111",  -- INDEX 927
1061
        B"01110000" when B"1110100000",  -- INDEX 928
1062
        B"01010000" when B"1110100001",  -- INDEX 929
1063
        B"00100011" when B"1110100010",  -- INDEX 930
1064
        B"11110000" when B"1110100011",  -- INDEX 931
1065
        B"11000001" when B"1110100100",  -- INDEX 932
1066
        B"10011011" when B"1110100101",  -- INDEX 933
1067
        B"10000100" when B"1110100110",  -- INDEX 934
1068
        B"10000001" when B"1110100111",  -- INDEX 935
1069
        B"10010010" when B"1110101000",  -- INDEX 936
1070
        B"10110100" when B"1110101001",  -- INDEX 937
1071
        B"11100001" when B"1110101010",  -- INDEX 938
1072
        B"00010100" when B"1110101011",  -- INDEX 939
1073
        B"01000011" when B"1110101100",  -- INDEX 940
1074
        B"01101000" when B"1110101101",  -- INDEX 941
1075
        B"01111101" when B"1110101110",  -- INDEX 942
1076
        B"01111110" when B"1110101111",  -- INDEX 943
1077
        B"01101100" when B"1110110000",  -- INDEX 944
1078
        B"01001001" when B"1110110001",  -- INDEX 945
1079
        B"00011011" when B"1110110010",  -- INDEX 946
1080
        B"11101001" when B"1110110011",  -- INDEX 947
1081
        B"10111010" when B"1110110100",  -- INDEX 948
1082
        B"10010110" when B"1110110101",  -- INDEX 949
1083
        B"10000010" when B"1110110110",  -- INDEX 950
1084
        B"10000011" when B"1110110111",  -- INDEX 951
1085
        B"10010110" when B"1110111000",  -- INDEX 952
1086
        B"10111010" when B"1110111001",  -- INDEX 953
1087
        B"11101001" when B"1110111010",  -- INDEX 954
1088
        B"00011011" when B"1110111011",  -- INDEX 955
1089
        B"01001010" when B"1110111100",  -- INDEX 956
1090
        B"01101100" when B"1110111101",  -- INDEX 957
1091
        B"01111110" when B"1110111110",  -- INDEX 958
1092
        B"01111101" when B"1110111111",  -- INDEX 959
1093
        B"01101000" when B"1111000000",  -- INDEX 960
1094
        B"01000010" when B"1111000001",  -- INDEX 961
1095
        B"00010011" when B"1111000010",  -- INDEX 962
1096
        B"11100001" when B"1111000011",  -- INDEX 963
1097
        B"10110011" when B"1111000100",  -- INDEX 964
1098
        B"10010010" when B"1111000101",  -- INDEX 965
1099
        B"10000001" when B"1111000110",  -- INDEX 966
1100
        B"10000100" when B"1111000111",  -- INDEX 967
1101
        B"10011011" when B"1111001000",  -- INDEX 968
1102
        B"11000001" when B"1111001001",  -- INDEX 969
1103
        B"11110001" when B"1111001010",  -- INDEX 970
1104
        B"00100011" when B"1111001011",  -- INDEX 971
1105
        B"01010000" when B"1111001100",  -- INDEX 972
1106
        B"01110000" when B"1111001101",  -- INDEX 973
1107
        B"01111111" when B"1111001110",  -- INDEX 974
1108
        B"01111010" when B"1111001111",  -- INDEX 975
1109
        B"01100011" when B"1111010000",  -- INDEX 976
1110
        B"00111011" when B"1111010001",  -- INDEX 977
1111
        B"00001011" when B"1111010010",  -- INDEX 978
1112
        B"11011001" when B"1111010011",  -- INDEX 979
1113
        B"10101101" when B"1111010100",  -- INDEX 980
1114
        B"10001110" when B"1111010101",  -- INDEX 981
1115
        B"10000000" when B"1111010110",  -- INDEX 982
1116
        B"10000111" when B"1111010111",  -- INDEX 983
1117
        B"10100000" when B"1111011000",  -- INDEX 984
1118
        B"11001000" when B"1111011001",  -- INDEX 985
1119
        B"11111001" when B"1111011010",  -- INDEX 986
1120
        B"00101011" when B"1111011011",  -- INDEX 987
1121
        B"01010110" when B"1111011100",  -- INDEX 988
1122
        B"01110100" when B"1111011101",  -- INDEX 989
1123
        B"01111111" when B"1111011110",  -- INDEX 990
1124
        B"01111000" when B"1111011111",  -- INDEX 991
1125
        B"01011101" when B"1111100000",  -- INDEX 992
1126
        B"00110100" when B"1111100001",  -- INDEX 993
1127
        B"00000011" when B"1111100010",  -- INDEX 994
1128
        B"11010001" when B"1111100011",  -- INDEX 995
1129
        B"10100111" when B"1111100100",  -- INDEX 996
1130
        B"10001010" when B"1111100101",  -- INDEX 997
1131
        B"10000000" when B"1111100110",  -- INDEX 998
1132
        B"10001010" when B"1111100111",  -- INDEX 999
1133
 
1134
-- END INPUT FM SIGNAL
1135
        B"00000000" when others;
1136
 
1137
        with counter (09 downto 0) select
1138
        test_signal_fmTri_int <=
1139
-- START INPUT FM-TRI SIGNAL
1140
 
1141
        B"01111111" when B"0000000000",  -- INDEX 0
1142
        B"01110110" when B"0000000001",  -- INDEX 1
1143
        B"01011011" when B"0000000010",  -- INDEX 2
1144
        B"00110010" when B"0000000011",  -- INDEX 3
1145
        B"00000010" when B"0000000100",  -- INDEX 4
1146
        B"11010001" when B"0000000101",  -- INDEX 5
1147
        B"10101000" when B"0000000110",  -- INDEX 6
1148
        B"10001011" when B"0000000111",  -- INDEX 7
1149
        B"10000000" when B"0000001000",  -- INDEX 8
1150
        B"10001000" when B"0000001001",  -- INDEX 9
1151
        B"10100010" when B"0000001010",  -- INDEX 10
1152
        B"11001010" when B"0000001011",  -- INDEX 11
1153
        B"11111010" when B"0000001100",  -- INDEX 12
1154
        B"00101011" when B"0000001101",  -- INDEX 13
1155
        B"01010110" when B"0000001110",  -- INDEX 14
1156
        B"01110011" when B"0000001111",  -- INDEX 15
1157
        B"01111111" when B"0000010000",  -- INDEX 16
1158
        B"01111001" when B"0000010001",  -- INDEX 17
1159
        B"01100000" when B"0000010010",  -- INDEX 18
1160
        B"00111001" when B"0000010011",  -- INDEX 19
1161
        B"00001001" when B"0000010100",  -- INDEX 20
1162
        B"11011000" when B"0000010101",  -- INDEX 21
1163
        B"10101101" when B"0000010110",  -- INDEX 22
1164
        B"10001110" when B"0000010111",  -- INDEX 23
1165
        B"10000000" when B"0000011000",  -- INDEX 24
1166
        B"10000110" when B"0000011001",  -- INDEX 25
1167
        B"10011110" when B"0000011010",  -- INDEX 26
1168
        B"11000100" when B"0000011011",  -- INDEX 27
1169
        B"11110100" when B"0000011100",  -- INDEX 28
1170
        B"00100101" when B"0000011101",  -- INDEX 29
1171
        B"01010001" when B"0000011110",  -- INDEX 30
1172
        B"01110001" when B"0000011111",  -- INDEX 31
1173
        B"01111111" when B"0000100000",  -- INDEX 32
1174
        B"01111011" when B"0000100001",  -- INDEX 33
1175
        B"01100100" when B"0000100010",  -- INDEX 34
1176
        B"00111110" when B"0000100011",  -- INDEX 35
1177
        B"00001111" when B"0000100100",  -- INDEX 36
1178
        B"11011101" when B"0000100101",  -- INDEX 37
1179
        B"10110001" when B"0000100110",  -- INDEX 38
1180
        B"10010001" when B"0000100111",  -- INDEX 39
1181
        B"10000001" when B"0000101000",  -- INDEX 40
1182
        B"10000100" when B"0000101001",  -- INDEX 41
1183
        B"10011010" when B"0000101010",  -- INDEX 42
1184
        B"11000000" when B"0000101011",  -- INDEX 43
1185
        B"11101111" when B"0000101100",  -- INDEX 44
1186
        B"00100000" when B"0000101101",  -- INDEX 45
1187
        B"01001101" when B"0000101110",  -- INDEX 46
1188
        B"01101110" when B"0000101111",  -- INDEX 47
1189
        B"01111111" when B"0000110000",  -- INDEX 48
1190
        B"01111100" when B"0000110001",  -- INDEX 49
1191
        B"01100111" when B"0000110010",  -- INDEX 50
1192
        B"01000010" when B"0000110011",  -- INDEX 51
1193
        B"00010011" when B"0000110100",  -- INDEX 52
1194
        B"11100010" when B"0000110101",  -- INDEX 53
1195
        B"10110101" when B"0000110110",  -- INDEX 54
1196
        B"10010011" when B"0000110111",  -- INDEX 55
1197
        B"10000010" when B"0000111000",  -- INDEX 56
1198
        B"10000011" when B"0000111001",  -- INDEX 57
1199
        B"10011000" when B"0000111010",  -- INDEX 58
1200
        B"10111100" when B"0000111011",  -- INDEX 59
1201
        B"11101011" when B"0000111100",  -- INDEX 60
1202
        B"00011101" when B"0000111101",  -- INDEX 61
1203
        B"01001010" when B"0000111110",  -- INDEX 62
1204
        B"01101100" when B"0000111111",  -- INDEX 63
1205
        B"01111110" when B"0001000000",  -- INDEX 64
1206
        B"01111101" when B"0001000001",  -- INDEX 65
1207
        B"01101001" when B"0001000010",  -- INDEX 66
1208
        B"01000101" when B"0001000011",  -- INDEX 67
1209
        B"00010111" when B"0001000100",  -- INDEX 68
1210
        B"11100101" when B"0001000101",  -- INDEX 69
1211
        B"10110111" when B"0001000110",  -- INDEX 70
1212
        B"10010101" when B"0001000111",  -- INDEX 71
1213
        B"10000010" when B"0001001000",  -- INDEX 72
1214
        B"10000011" when B"0001001001",  -- INDEX 73
1215
        B"10010110" when B"0001001010",  -- INDEX 74
1216
        B"10111010" when B"0001001011",  -- INDEX 75
1217
        B"11101000" when B"0001001100",  -- INDEX 76
1218
        B"00011010" when B"0001001101",  -- INDEX 77
1219
        B"01001000" when B"0001001110",  -- INDEX 78
1220
        B"01101011" when B"0001001111",  -- INDEX 79
1221
        B"01111110" when B"0001010000",  -- INDEX 80
1222
        B"01111101" when B"0001010001",  -- INDEX 81
1223
        B"01101010" when B"0001010010",  -- INDEX 82
1224
        B"01000111" when B"0001010011",  -- INDEX 83
1225
        B"00011001" when B"0001010100",  -- INDEX 84
1226
        B"11100111" when B"0001010101",  -- INDEX 85
1227
        B"10111001" when B"0001010110",  -- INDEX 86
1228
        B"10010101" when B"0001010111",  -- INDEX 87
1229
        B"10000010" when B"0001011000",  -- INDEX 88
1230
        B"10000010" when B"0001011001",  -- INDEX 89
1231
        B"10010110" when B"0001011010",  -- INDEX 90
1232
        B"10111001" when B"0001011011",  -- INDEX 91
1233
        B"11100111" when B"0001011100",  -- INDEX 92
1234
        B"00011001" when B"0001011101",  -- INDEX 93
1235
        B"01000111" when B"0001011110",  -- INDEX 94
1236
        B"01101010" when B"0001011111",  -- INDEX 95
1237
        B"01111110" when B"0001100000",  -- INDEX 96
1238
        B"01111110" when B"0001100001",  -- INDEX 97
1239
        B"01101011" when B"0001100010",  -- INDEX 98
1240
        B"01000111" when B"0001100011",  -- INDEX 99
1241
        B"00011001" when B"0001100100",  -- INDEX 100
1242
        B"11100111" when B"0001100101",  -- INDEX 101
1243
        B"10111001" when B"0001100110",  -- INDEX 102
1244
        B"10010110" when B"0001100111",  -- INDEX 103
1245
        B"10000011" when B"0001101000",  -- INDEX 104
1246
        B"10000010" when B"0001101001",  -- INDEX 105
1247
        B"10010101" when B"0001101010",  -- INDEX 106
1248
        B"10111001" when B"0001101011",  -- INDEX 107
1249
        B"11100111" when B"0001101100",  -- INDEX 108
1250
        B"00011001" when B"0001101101",  -- INDEX 109
1251
        B"01000111" when B"0001101110",  -- INDEX 110
1252
        B"01101010" when B"0001101111",  -- INDEX 111
1253
        B"01111110" when B"0001110000",  -- INDEX 112
1254
        B"01111110" when B"0001110001",  -- INDEX 113
1255
        B"01101010" when B"0001110010",  -- INDEX 114
1256
        B"01000111" when B"0001110011",  -- INDEX 115
1257
        B"00011001" when B"0001110100",  -- INDEX 116
1258
        B"11100111" when B"0001110101",  -- INDEX 117
1259
        B"10111000" when B"0001110110",  -- INDEX 118
1260
        B"10010101" when B"0001110111",  -- INDEX 119
1261
        B"10000010" when B"0001111000",  -- INDEX 120
1262
        B"10000011" when B"0001111001",  -- INDEX 121
1263
        B"10010110" when B"0001111010",  -- INDEX 122
1264
        B"10111010" when B"0001111011",  -- INDEX 123
1265
        B"11101000" when B"0001111100",  -- INDEX 124
1266
        B"00011010" when B"0001111101",  -- INDEX 125
1267
        B"01001000" when B"0001111110",  -- INDEX 126
1268
        B"01101011" when B"0001111111",  -- INDEX 127
1269
        B"01111110" when B"0010000000",  -- INDEX 128
1270
        B"01111101" when B"0010000001",  -- INDEX 129
1271
        B"01101001" when B"0010000010",  -- INDEX 130
1272
        B"01000101" when B"0010000011",  -- INDEX 131
1273
        B"00010111" when B"0010000100",  -- INDEX 132
1274
        B"11100101" when B"0010000101",  -- INDEX 133
1275
        B"10110111" when B"0010000110",  -- INDEX 134
1276
        B"10010100" when B"0010000111",  -- INDEX 135
1277
        B"10000010" when B"0010001000",  -- INDEX 136
1278
        B"10000011" when B"0010001001",  -- INDEX 137
1279
        B"10010111" when B"0010001010",  -- INDEX 138
1280
        B"10111100" when B"0010001011",  -- INDEX 139
1281
        B"11101011" when B"0010001100",  -- INDEX 140
1282
        B"00011101" when B"0010001101",  -- INDEX 141
1283
        B"01001010" when B"0010001110",  -- INDEX 142
1284
        B"01101101" when B"0010001111",  -- INDEX 143
1285
        B"01111110" when B"0010010000",  -- INDEX 144
1286
        B"01111101" when B"0010010001",  -- INDEX 145
1287
        B"01101000" when B"0010010010",  -- INDEX 146
1288
        B"01000011" when B"0010010011",  -- INDEX 147
1289
        B"00010100" when B"0010010100",  -- INDEX 148
1290
        B"11100010" when B"0010010101",  -- INDEX 149
1291
        B"10110100" when B"0010010110",  -- INDEX 150
1292
        B"10010010" when B"0010010111",  -- INDEX 151
1293
        B"10000001" when B"0010011000",  -- INDEX 152
1294
        B"10000100" when B"0010011001",  -- INDEX 153
1295
        B"10011010" when B"0010011010",  -- INDEX 154
1296
        B"10111111" when B"0010011011",  -- INDEX 155
1297
        B"11101110" when B"0010011100",  -- INDEX 156
1298
        B"00100001" when B"0010011101",  -- INDEX 157
1299
        B"01001110" when B"0010011110",  -- INDEX 158
1300
        B"01101111" when B"0010011111",  -- INDEX 159
1301
        B"01111111" when B"0010100000",  -- INDEX 160
1302
        B"01111011" when B"0010100001",  -- INDEX 161
1303
        B"01100101" when B"0010100010",  -- INDEX 162
1304
        B"00111111" when B"0010100011",  -- INDEX 163
1305
        B"00001111" when B"0010100100",  -- INDEX 164
1306
        B"11011101" when B"0010100101",  -- INDEX 165
1307
        B"10110000" when B"0010100110",  -- INDEX 166
1308
        B"10010000" when B"0010100111",  -- INDEX 167
1309
        B"10000001" when B"0010101000",  -- INDEX 168
1310
        B"10000101" when B"0010101001",  -- INDEX 169
1311
        B"10011101" when B"0010101010",  -- INDEX 170
1312
        B"11000011" when B"0010101011",  -- INDEX 171
1313
        B"11110011" when B"0010101100",  -- INDEX 172
1314
        B"00100110" when B"0010101101",  -- INDEX 173
1315
        B"01010010" when B"0010101110",  -- INDEX 174
1316
        B"01110001" when B"0010101111",  -- INDEX 175
1317
        B"01111111" when B"0010110000",  -- INDEX 176
1318
        B"01111010" when B"0010110001",  -- INDEX 177
1319
        B"01100001" when B"0010110010",  -- INDEX 178
1320
        B"00111010" when B"0010110011",  -- INDEX 179
1321
        B"00001001" when B"0010110100",  -- INDEX 180
1322
        B"11011000" when B"0010110101",  -- INDEX 181
1323
        B"10101100" when B"0010110110",  -- INDEX 182
1324
        B"10001101" when B"0010110111",  -- INDEX 183
1325
        B"10000000" when B"0010111000",  -- INDEX 184
1326
        B"10000111" when B"0010111001",  -- INDEX 185
1327
        B"10100001" when B"0010111010",  -- INDEX 186
1328
        B"11001001" when B"0010111011",  -- INDEX 187
1329
        B"11111010" when B"0010111100",  -- INDEX 188
1330
        B"00101100" when B"0010111101",  -- INDEX 189
1331
        B"01010111" when B"0010111110",  -- INDEX 190
1332
        B"01110100" when B"0010111111",  -- INDEX 191
1333
        B"01111111" when B"0011000000",  -- INDEX 192
1334
        B"01111000" when B"0011000001",  -- INDEX 193
1335
        B"01011101" when B"0011000010",  -- INDEX 194
1336
        B"00110100" when B"0011000011",  -- INDEX 195
1337
        B"00000010" when B"0011000100",  -- INDEX 196
1338
        B"11010001" when B"0011000101",  -- INDEX 197
1339
        B"10100111" when B"0011000110",  -- INDEX 198
1340
        B"10001010" when B"0011000111",  -- INDEX 199
1341
        B"10000000" when B"0011001000",  -- INDEX 200
1342
        B"10001010" when B"0011001001",  -- INDEX 201
1343
        B"10100110" when B"0011001010",  -- INDEX 202
1344
        B"11010000" when B"0011001011",  -- INDEX 203
1345
        B"00000001" when B"0011001100",  -- INDEX 204
1346
        B"00110011" when B"0011001101",  -- INDEX 205
1347
        B"01011100" when B"0011001110",  -- INDEX 206
1348
        B"01110111" when B"0011001111",  -- INDEX 207
1349
        B"01111111" when B"0011010000",  -- INDEX 208
1350
        B"01110101" when B"0011010001",  -- INDEX 209
1351
        B"01010111" when B"0011010010",  -- INDEX 210
1352
        B"00101101" when B"0011010011",  -- INDEX 211
1353
        B"11111011" when B"0011010100",  -- INDEX 212
1354
        B"11001010" when B"0011010101",  -- INDEX 213
1355
        B"10100001" when B"0011010110",  -- INDEX 214
1356
        B"10000111" when B"0011010111",  -- INDEX 215
1357
        B"10000000" when B"0011011000",  -- INDEX 216
1358
        B"10001101" when B"0011011001",  -- INDEX 217
1359
        B"10101011" when B"0011011010",  -- INDEX 218
1360
        B"11010111" when B"0011011011",  -- INDEX 219
1361
        B"00001001" when B"0011011100",  -- INDEX 220
1362
        B"00111001" when B"0011011101",  -- INDEX 221
1363
        B"01100001" when B"0011011110",  -- INDEX 222
1364
        B"01111010" when B"0011011111",  -- INDEX 223
1365
        B"01111111" when B"0011100000",  -- INDEX 224
1366
        B"01110010" when B"0011100001",  -- INDEX 225
1367
        B"01010010" when B"0011100010",  -- INDEX 226
1368
        B"00100110" when B"0011100011",  -- INDEX 227
1369
        B"11110100" when B"0011100100",  -- INDEX 228
1370
        B"11000100" when B"0011100101",  -- INDEX 229
1371
        B"10011101" when B"0011100110",  -- INDEX 230
1372
        B"10000101" when B"0011100111",  -- INDEX 231
1373
        B"10000001" when B"0011101000",  -- INDEX 232
1374
        B"10010000" when B"0011101001",  -- INDEX 233
1375
        B"10110000" when B"0011101010",  -- INDEX 234
1376
        B"11011100" when B"0011101011",  -- INDEX 235
1377
        B"00001110" when B"0011101100",  -- INDEX 236
1378
        B"00111110" when B"0011101101",  -- INDEX 237
1379
        B"01100101" when B"0011101110",  -- INDEX 238
1380
        B"01111011" when B"0011101111",  -- INDEX 239
1381
        B"01111111" when B"0011110000",  -- INDEX 240
1382
        B"01101111" when B"0011110001",  -- INDEX 241
1383
        B"01001110" when B"0011110010",  -- INDEX 242
1384
        B"00100001" when B"0011110011",  -- INDEX 243
1385
        B"11101111" when B"0011110100",  -- INDEX 244
1386
        B"11000000" when B"0011110101",  -- INDEX 245
1387
        B"10011010" when B"0011110110",  -- INDEX 246
1388
        B"10000100" when B"0011110111",  -- INDEX 247
1389
        B"10000001" when B"0011111000",  -- INDEX 248
1390
        B"10010010" when B"0011111001",  -- INDEX 249
1391
        B"10110011" when B"0011111010",  -- INDEX 250
1392
        B"11100001" when B"0011111011",  -- INDEX 251
1393
        B"00010011" when B"0011111100",  -- INDEX 252
1394
        B"01000010" when B"0011111101",  -- INDEX 253
1395
        B"01100111" when B"0011111110",  -- INDEX 254
1396
        B"01111100" when B"0011111111",  -- INDEX 255
1397
        B"01111110" when B"0100000000",  -- INDEX 256
1398
        B"01101101" when B"0100000001",  -- INDEX 257
1399
        B"01001011" when B"0100000010",  -- INDEX 258
1400
        B"00011101" when B"0100000011",  -- INDEX 259
1401
        B"11101011" when B"0100000100",  -- INDEX 260
1402
        B"10111100" when B"0100000101",  -- INDEX 261
1403
        B"10011000" when B"0100000110",  -- INDEX 262
1404
        B"10000011" when B"0100000111",  -- INDEX 263
1405
        B"10000010" when B"0100001000",  -- INDEX 264
1406
        B"10010100" when B"0100001001",  -- INDEX 265
1407
        B"10110110" when B"0100001010",  -- INDEX 266
1408
        B"11100100" when B"0100001011",  -- INDEX 267
1409
        B"00010110" when B"0100001100",  -- INDEX 268
1410
        B"01000101" when B"0100001101",  -- INDEX 269
1411
        B"01101001" when B"0100001110",  -- INDEX 270
1412
        B"01111101" when B"0100001111",  -- INDEX 271
1413
        B"01111110" when B"0100010000",  -- INDEX 272
1414
        B"01101100" when B"0100010001",  -- INDEX 273
1415
        B"01001001" when B"0100010010",  -- INDEX 274
1416
        B"00011011" when B"0100010011",  -- INDEX 275
1417
        B"11101001" when B"0100010100",  -- INDEX 276
1418
        B"10111010" when B"0100010101",  -- INDEX 277
1419
        B"10010110" when B"0100010110",  -- INDEX 278
1420
        B"10000011" when B"0100010111",  -- INDEX 279
1421
        B"10000010" when B"0100011000",  -- INDEX 280
1422
        B"10010101" when B"0100011001",  -- INDEX 281
1423
        B"10111000" when B"0100011010",  -- INDEX 282
1424
        B"11100110" when B"0100011011",  -- INDEX 283
1425
        B"00011000" when B"0100011100",  -- INDEX 284
1426
        B"01000110" when B"0100011101",  -- INDEX 285
1427
        B"01101010" when B"0100011110",  -- INDEX 286
1428
        B"01111101" when B"0100011111",  -- INDEX 287
1429
        B"01111110" when B"0100100000",  -- INDEX 288
1430
        B"01101011" when B"0100100001",  -- INDEX 289
1431
        B"01001000" when B"0100100010",  -- INDEX 290
1432
        B"00011001" when B"0100100011",  -- INDEX 291
1433
        B"11100111" when B"0100100100",  -- INDEX 292
1434
        B"10111001" when B"0100100101",  -- INDEX 293
1435
        B"10010110" when B"0100100110",  -- INDEX 294
1436
        B"10000011" when B"0100100111",  -- INDEX 295
1437
        B"10000010" when B"0100101000",  -- INDEX 296
1438
        B"10010101" when B"0100101001",  -- INDEX 297
1439
        B"10111001" when B"0100101010",  -- INDEX 298
1440
        B"11100111" when B"0100101011",  -- INDEX 299
1441
        B"00011001" when B"0100101100",  -- INDEX 300
1442
        B"01000111" when B"0100101101",  -- INDEX 301
1443
        B"01101010" when B"0100101110",  -- INDEX 302
1444
        B"01111101" when B"0100101111",  -- INDEX 303
1445
        B"01111110" when B"0100110000",  -- INDEX 304
1446
        B"01101011" when B"0100110001",  -- INDEX 305
1447
        B"01000111" when B"0100110010",  -- INDEX 306
1448
        B"00011001" when B"0100110011",  -- INDEX 307
1449
        B"11100111" when B"0100110100",  -- INDEX 308
1450
        B"10111001" when B"0100110101",  -- INDEX 309
1451
        B"10010110" when B"0100110110",  -- INDEX 310
1452
        B"10000011" when B"0100110111",  -- INDEX 311
1453
        B"10000010" when B"0100111000",  -- INDEX 312
1454
        B"10010101" when B"0100111001",  -- INDEX 313
1455
        B"10111000" when B"0100111010",  -- INDEX 314
1456
        B"11100110" when B"0100111011",  -- INDEX 315
1457
        B"00011000" when B"0100111100",  -- INDEX 316
1458
        B"01000110" when B"0100111101",  -- INDEX 317
1459
        B"01101010" when B"0100111110",  -- INDEX 318
1460
        B"01111101" when B"0100111111",  -- INDEX 319
1461
        B"01111110" when B"0101000000",  -- INDEX 320
1462
        B"01101011" when B"0101000001",  -- INDEX 321
1463
        B"01001000" when B"0101000010",  -- INDEX 322
1464
        B"00011010" when B"0101000011",  -- INDEX 323
1465
        B"11101001" when B"0101000100",  -- INDEX 324
1466
        B"10111010" when B"0101000101",  -- INDEX 325
1467
        B"10010111" when B"0101000110",  -- INDEX 326
1468
        B"10000011" when B"0101000111",  -- INDEX 327
1469
        B"10000010" when B"0101001000",  -- INDEX 328
1470
        B"10010100" when B"0101001001",  -- INDEX 329
1471
        B"10110111" when B"0101001010",  -- INDEX 330
1472
        B"11100100" when B"0101001011",  -- INDEX 331
1473
        B"00010110" when B"0101001100",  -- INDEX 332
1474
        B"01000101" when B"0101001101",  -- INDEX 333
1475
        B"01101001" when B"0101001110",  -- INDEX 334
1476
        B"01111101" when B"0101001111",  -- INDEX 335
1477
        B"01111110" when B"0101010000",  -- INDEX 336
1478
        B"01101100" when B"0101010001",  -- INDEX 337
1479
        B"01001010" when B"0101010010",  -- INDEX 338
1480
        B"00011101" when B"0101010011",  -- INDEX 339
1481
        B"11101011" when B"0101010100",  -- INDEX 340
1482
        B"10111101" when B"0101010101",  -- INDEX 341
1483
        B"10011000" when B"0101010110",  -- INDEX 342
1484
        B"10000011" when B"0101010111",  -- INDEX 343
1485
        B"10000010" when B"0101011000",  -- INDEX 344
1486
        B"10010011" when B"0101011001",  -- INDEX 345
1487
        B"10110100" when B"0101011010",  -- INDEX 346
1488
        B"11100001" when B"0101011011",  -- INDEX 347
1489
        B"00010011" when B"0101011100",  -- INDEX 348
1490
        B"01000010" when B"0101011101",  -- INDEX 349
1491
        B"01100111" when B"0101011110",  -- INDEX 350
1492
        B"01111100" when B"0101011111",  -- INDEX 351
1493
        B"01111111" when B"0101100000",  -- INDEX 352
1494
        B"01101110" when B"0101100001",  -- INDEX 353
1495
        B"01001101" when B"0101100010",  -- INDEX 354
1496
        B"00100000" when B"0101100011",  -- INDEX 355
1497
        B"11101111" when B"0101100100",  -- INDEX 356
1498
        B"11000000" when B"0101100101",  -- INDEX 357
1499
        B"10011011" when B"0101100110",  -- INDEX 358
1500
        B"10000100" when B"0101100111",  -- INDEX 359
1501
        B"10000001" when B"0101101000",  -- INDEX 360
1502
        B"10010001" when B"0101101001",  -- INDEX 361
1503
        B"10110001" when B"0101101010",  -- INDEX 362
1504
        B"11011101" when B"0101101011",  -- INDEX 363
1505
        B"00001111" when B"0101101100",  -- INDEX 364
1506
        B"00111110" when B"0101101101",  -- INDEX 365
1507
        B"01100100" when B"0101101110",  -- INDEX 366
1508
        B"01111011" when B"0101101111",  -- INDEX 367
1509
        B"01111111" when B"0101110000",  -- INDEX 368
1510
        B"01110001" when B"0101110001",  -- INDEX 369
1511
        B"01010001" when B"0101110010",  -- INDEX 370
1512
        B"00100101" when B"0101110011",  -- INDEX 371
1513
        B"11110100" when B"0101110100",  -- INDEX 372
1514
        B"11000100" when B"0101110101",  -- INDEX 373
1515
        B"10011110" when B"0101110110",  -- INDEX 374
1516
        B"10000110" when B"0101110111",  -- INDEX 375
1517
        B"10000000" when B"0101111000",  -- INDEX 376
1518
        B"10001110" when B"0101111001",  -- INDEX 377
1519
        B"10101101" when B"0101111010",  -- INDEX 378
1520
        B"11011000" when B"0101111011",  -- INDEX 379
1521
        B"00001001" when B"0101111100",  -- INDEX 380
1522
        B"00111001" when B"0101111101",  -- INDEX 381
1523
        B"01100000" when B"0101111110",  -- INDEX 382
1524
        B"01111001" when B"0101111111",  -- INDEX 383
1525
        B"01111111" when B"0110000000",  -- INDEX 384
1526
        B"01110011" when B"0110000001",  -- INDEX 385
1527
        B"01010110" when B"0110000010",  -- INDEX 386
1528
        B"00101011" when B"0110000011",  -- INDEX 387
1529
        B"11111010" when B"0110000100",  -- INDEX 388
1530
        B"11001010" when B"0110000101",  -- INDEX 389
1531
        B"10100010" when B"0110000110",  -- INDEX 390
1532
        B"10001000" when B"0110000111",  -- INDEX 391
1533
        B"10000000" when B"0110001000",  -- INDEX 392
1534
        B"10001011" when B"0110001001",  -- INDEX 393
1535
        B"10101000" when B"0110001010",  -- INDEX 394
1536
        B"11010001" when B"0110001011",  -- INDEX 395
1537
        B"00000010" when B"0110001100",  -- INDEX 396
1538
        B"00110010" when B"0110001101",  -- INDEX 397
1539
        B"01011011" when B"0110001110",  -- INDEX 398
1540
        B"01110110" when B"0110001111",  -- INDEX 399
1541
        B"01111111" when B"0110010000",  -- INDEX 400
1542
        B"01110110" when B"0110010001",  -- INDEX 401
1543
        B"01011011" when B"0110010010",  -- INDEX 402
1544
        B"00110010" when B"0110010011",  -- INDEX 403
1545
        B"00000010" when B"0110010100",  -- INDEX 404
1546
        B"11010001" when B"0110010101",  -- INDEX 405
1547
        B"10101000" when B"0110010110",  -- INDEX 406
1548
        B"10001011" when B"0110010111",  -- INDEX 407
1549
        B"10000000" when B"0110011000",  -- INDEX 408
1550
        B"10001000" when B"0110011001",  -- INDEX 409
1551
        B"10100010" when B"0110011010",  -- INDEX 410
1552
        B"11001010" when B"0110011011",  -- INDEX 411
1553
        B"11111010" when B"0110011100",  -- INDEX 412
1554
        B"00101011" when B"0110011101",  -- INDEX 413
1555
        B"01010110" when B"0110011110",  -- INDEX 414
1556
        B"01110011" when B"0110011111",  -- INDEX 415
1557
        B"01111111" when B"0110100000",  -- INDEX 416
1558
        B"01111001" when B"0110100001",  -- INDEX 417
1559
        B"01100000" when B"0110100010",  -- INDEX 418
1560
        B"00111001" when B"0110100011",  -- INDEX 419
1561
        B"00001001" when B"0110100100",  -- INDEX 420
1562
        B"11011000" when B"0110100101",  -- INDEX 421
1563
        B"10101101" when B"0110100110",  -- INDEX 422
1564
        B"10001110" when B"0110100111",  -- INDEX 423
1565
        B"10000000" when B"0110101000",  -- INDEX 424
1566
        B"10000110" when B"0110101001",  -- INDEX 425
1567
        B"10011110" when B"0110101010",  -- INDEX 426
1568
        B"11000100" when B"0110101011",  -- INDEX 427
1569
        B"11110100" when B"0110101100",  -- INDEX 428
1570
        B"00100101" when B"0110101101",  -- INDEX 429
1571
        B"01010001" when B"0110101110",  -- INDEX 430
1572
        B"01110001" when B"0110101111",  -- INDEX 431
1573
        B"01111111" when B"0110110000",  -- INDEX 432
1574
        B"01111011" when B"0110110001",  -- INDEX 433
1575
        B"01100100" when B"0110110010",  -- INDEX 434
1576
        B"00111110" when B"0110110011",  -- INDEX 435
1577
        B"00001111" when B"0110110100",  -- INDEX 436
1578
        B"11011101" when B"0110110101",  -- INDEX 437
1579
        B"10110001" when B"0110110110",  -- INDEX 438
1580
        B"10010001" when B"0110110111",  -- INDEX 439
1581
        B"10000001" when B"0110111000",  -- INDEX 440
1582
        B"10000100" when B"0110111001",  -- INDEX 441
1583
        B"10011010" when B"0110111010",  -- INDEX 442
1584
        B"11000000" when B"0110111011",  -- INDEX 443
1585
        B"11101111" when B"0110111100",  -- INDEX 444
1586
        B"00100000" when B"0110111101",  -- INDEX 445
1587
        B"01001101" when B"0110111110",  -- INDEX 446
1588
        B"01101110" when B"0110111111",  -- INDEX 447
1589
        B"01111111" when B"0111000000",  -- INDEX 448
1590
        B"01111100" when B"0111000001",  -- INDEX 449
1591
        B"01100111" when B"0111000010",  -- INDEX 450
1592
        B"01000010" when B"0111000011",  -- INDEX 451
1593
        B"00010011" when B"0111000100",  -- INDEX 452
1594
        B"11100010" when B"0111000101",  -- INDEX 453
1595
        B"10110101" when B"0111000110",  -- INDEX 454
1596
        B"10010011" when B"0111000111",  -- INDEX 455
1597
        B"10000010" when B"0111001000",  -- INDEX 456
1598
        B"10000011" when B"0111001001",  -- INDEX 457
1599
        B"10011000" when B"0111001010",  -- INDEX 458
1600
        B"10111100" when B"0111001011",  -- INDEX 459
1601
        B"11101011" when B"0111001100",  -- INDEX 460
1602
        B"00011101" when B"0111001101",  -- INDEX 461
1603
        B"01001010" when B"0111001110",  -- INDEX 462
1604
        B"01101100" when B"0111001111",  -- INDEX 463
1605
        B"01111110" when B"0111010000",  -- INDEX 464
1606
        B"01111101" when B"0111010001",  -- INDEX 465
1607
        B"01101001" when B"0111010010",  -- INDEX 466
1608
        B"01000101" when B"0111010011",  -- INDEX 467
1609
        B"00010111" when B"0111010100",  -- INDEX 468
1610
        B"11100101" when B"0111010101",  -- INDEX 469
1611
        B"10110111" when B"0111010110",  -- INDEX 470
1612
        B"10010101" when B"0111010111",  -- INDEX 471
1613
        B"10000010" when B"0111011000",  -- INDEX 472
1614
        B"10000011" when B"0111011001",  -- INDEX 473
1615
        B"10010110" when B"0111011010",  -- INDEX 474
1616
        B"10111010" when B"0111011011",  -- INDEX 475
1617
        B"11101000" when B"0111011100",  -- INDEX 476
1618
        B"00011010" when B"0111011101",  -- INDEX 477
1619
        B"01001000" when B"0111011110",  -- INDEX 478
1620
        B"01101011" when B"0111011111",  -- INDEX 479
1621
        B"01111110" when B"0111100000",  -- INDEX 480
1622
        B"01111101" when B"0111100001",  -- INDEX 481
1623
        B"01101010" when B"0111100010",  -- INDEX 482
1624
        B"01000111" when B"0111100011",  -- INDEX 483
1625
        B"00011001" when B"0111100100",  -- INDEX 484
1626
        B"11100111" when B"0111100101",  -- INDEX 485
1627
        B"10111001" when B"0111100110",  -- INDEX 486
1628
        B"10010101" when B"0111100111",  -- INDEX 487
1629
        B"10000010" when B"0111101000",  -- INDEX 488
1630
        B"10000010" when B"0111101001",  -- INDEX 489
1631
        B"10010110" when B"0111101010",  -- INDEX 490
1632
        B"10111001" when B"0111101011",  -- INDEX 491
1633
        B"11100111" when B"0111101100",  -- INDEX 492
1634
        B"00011001" when B"0111101101",  -- INDEX 493
1635
        B"01000111" when B"0111101110",  -- INDEX 494
1636
        B"01101010" when B"0111101111",  -- INDEX 495
1637
        B"01111110" when B"0111110000",  -- INDEX 496
1638
        B"01111110" when B"0111110001",  -- INDEX 497
1639
        B"01101011" when B"0111110010",  -- INDEX 498
1640
        B"01000111" when B"0111110011",  -- INDEX 499
1641
        B"00011001" when B"0111110100",  -- INDEX 500
1642
        B"11100111" when B"0111110101",  -- INDEX 501
1643
        B"10111001" when B"0111110110",  -- INDEX 502
1644
        B"10010110" when B"0111110111",  -- INDEX 503
1645
        B"10000011" when B"0111111000",  -- INDEX 504
1646
        B"10000010" when B"0111111001",  -- INDEX 505
1647
        B"10010101" when B"0111111010",  -- INDEX 506
1648
        B"10111001" when B"0111111011",  -- INDEX 507
1649
        B"11100111" when B"0111111100",  -- INDEX 508
1650
        B"00011001" when B"0111111101",  -- INDEX 509
1651
        B"01000111" when B"0111111110",  -- INDEX 510
1652
        B"01101010" when B"0111111111",  -- INDEX 511
1653
        B"01111110" when B"1000000000",  -- INDEX 512
1654
        B"01111110" when B"1000000001",  -- INDEX 513
1655
        B"01101010" when B"1000000010",  -- INDEX 514
1656
        B"01000111" when B"1000000011",  -- INDEX 515
1657
        B"00011001" when B"1000000100",  -- INDEX 516
1658
        B"11100111" when B"1000000101",  -- INDEX 517
1659
        B"10111000" when B"1000000110",  -- INDEX 518
1660
        B"10010101" when B"1000000111",  -- INDEX 519
1661
        B"10000010" when B"1000001000",  -- INDEX 520
1662
        B"10000011" when B"1000001001",  -- INDEX 521
1663
        B"10010110" when B"1000001010",  -- INDEX 522
1664
        B"10111010" when B"1000001011",  -- INDEX 523
1665
        B"11101000" when B"1000001100",  -- INDEX 524
1666
        B"00011010" when B"1000001101",  -- INDEX 525
1667
        B"01001000" when B"1000001110",  -- INDEX 526
1668
        B"01101011" when B"1000001111",  -- INDEX 527
1669
        B"01111110" when B"1000010000",  -- INDEX 528
1670
        B"01111101" when B"1000010001",  -- INDEX 529
1671
        B"01101001" when B"1000010010",  -- INDEX 530
1672
        B"01000101" when B"1000010011",  -- INDEX 531
1673
        B"00010111" when B"1000010100",  -- INDEX 532
1674
        B"11100101" when B"1000010101",  -- INDEX 533
1675
        B"10110111" when B"1000010110",  -- INDEX 534
1676
        B"10010100" when B"1000010111",  -- INDEX 535
1677
        B"10000010" when B"1000011000",  -- INDEX 536
1678
        B"10000011" when B"1000011001",  -- INDEX 537
1679
        B"10010111" when B"1000011010",  -- INDEX 538
1680
        B"10111100" when B"1000011011",  -- INDEX 539
1681
        B"11101011" when B"1000011100",  -- INDEX 540
1682
        B"00011101" when B"1000011101",  -- INDEX 541
1683
        B"01001010" when B"1000011110",  -- INDEX 542
1684
        B"01101101" when B"1000011111",  -- INDEX 543
1685
        B"01111110" when B"1000100000",  -- INDEX 544
1686
        B"01111101" when B"1000100001",  -- INDEX 545
1687
        B"01101000" when B"1000100010",  -- INDEX 546
1688
        B"01000011" when B"1000100011",  -- INDEX 547
1689
        B"00010100" when B"1000100100",  -- INDEX 548
1690
        B"11100010" when B"1000100101",  -- INDEX 549
1691
        B"10110100" when B"1000100110",  -- INDEX 550
1692
        B"10010010" when B"1000100111",  -- INDEX 551
1693
        B"10000001" when B"1000101000",  -- INDEX 552
1694
        B"10000100" when B"1000101001",  -- INDEX 553
1695
        B"10011010" when B"1000101010",  -- INDEX 554
1696
        B"10111111" when B"1000101011",  -- INDEX 555
1697
        B"11101110" when B"1000101100",  -- INDEX 556
1698
        B"00100001" when B"1000101101",  -- INDEX 557
1699
        B"01001110" when B"1000101110",  -- INDEX 558
1700
        B"01101111" when B"1000101111",  -- INDEX 559
1701
        B"01111111" when B"1000110000",  -- INDEX 560
1702
        B"01111011" when B"1000110001",  -- INDEX 561
1703
        B"01100101" when B"1000110010",  -- INDEX 562
1704
        B"00111111" when B"1000110011",  -- INDEX 563
1705
        B"00001111" when B"1000110100",  -- INDEX 564
1706
        B"11011101" when B"1000110101",  -- INDEX 565
1707
        B"10110000" when B"1000110110",  -- INDEX 566
1708
        B"10010000" when B"1000110111",  -- INDEX 567
1709
        B"10000001" when B"1000111000",  -- INDEX 568
1710
        B"10000101" when B"1000111001",  -- INDEX 569
1711
        B"10011101" when B"1000111010",  -- INDEX 570
1712
        B"11000011" when B"1000111011",  -- INDEX 571
1713
        B"11110011" when B"1000111100",  -- INDEX 572
1714
        B"00100110" when B"1000111101",  -- INDEX 573
1715
        B"01010010" when B"1000111110",  -- INDEX 574
1716
        B"01110001" when B"1000111111",  -- INDEX 575
1717
        B"01111111" when B"1001000000",  -- INDEX 576
1718
        B"01111010" when B"1001000001",  -- INDEX 577
1719
        B"01100001" when B"1001000010",  -- INDEX 578
1720
        B"00111010" when B"1001000011",  -- INDEX 579
1721
        B"00001001" when B"1001000100",  -- INDEX 580
1722
        B"11011000" when B"1001000101",  -- INDEX 581
1723
        B"10101100" when B"1001000110",  -- INDEX 582
1724
        B"10001101" when B"1001000111",  -- INDEX 583
1725
        B"10000000" when B"1001001000",  -- INDEX 584
1726
        B"10000111" when B"1001001001",  -- INDEX 585
1727
        B"10100001" when B"1001001010",  -- INDEX 586
1728
        B"11001001" when B"1001001011",  -- INDEX 587
1729
        B"11111010" when B"1001001100",  -- INDEX 588
1730
        B"00101100" when B"1001001101",  -- INDEX 589
1731
        B"01010111" when B"1001001110",  -- INDEX 590
1732
        B"01110100" when B"1001001111",  -- INDEX 591
1733
        B"01111111" when B"1001010000",  -- INDEX 592
1734
        B"01111000" when B"1001010001",  -- INDEX 593
1735
        B"01011101" when B"1001010010",  -- INDEX 594
1736
        B"00110100" when B"1001010011",  -- INDEX 595
1737
        B"00000010" when B"1001010100",  -- INDEX 596
1738
        B"11010001" when B"1001010101",  -- INDEX 597
1739
        B"10100111" when B"1001010110",  -- INDEX 598
1740
        B"10001010" when B"1001010111",  -- INDEX 599
1741
        B"10000000" when B"1001011000",  -- INDEX 600
1742
        B"10001010" when B"1001011001",  -- INDEX 601
1743
        B"10100110" when B"1001011010",  -- INDEX 602
1744
        B"11010000" when B"1001011011",  -- INDEX 603
1745
        B"00000001" when B"1001011100",  -- INDEX 604
1746
        B"00110011" when B"1001011101",  -- INDEX 605
1747
        B"01011100" when B"1001011110",  -- INDEX 606
1748
        B"01110111" when B"1001011111",  -- INDEX 607
1749
        B"01111111" when B"1001100000",  -- INDEX 608
1750
        B"01110101" when B"1001100001",  -- INDEX 609
1751
        B"01010111" when B"1001100010",  -- INDEX 610
1752
        B"00101101" when B"1001100011",  -- INDEX 611
1753
        B"11111011" when B"1001100100",  -- INDEX 612
1754
        B"11001010" when B"1001100101",  -- INDEX 613
1755
        B"10100001" when B"1001100110",  -- INDEX 614
1756
        B"10000111" when B"1001100111",  -- INDEX 615
1757
        B"10000000" when B"1001101000",  -- INDEX 616
1758
        B"10001101" when B"1001101001",  -- INDEX 617
1759
        B"10101011" when B"1001101010",  -- INDEX 618
1760
        B"11010111" when B"1001101011",  -- INDEX 619
1761
        B"00001001" when B"1001101100",  -- INDEX 620
1762
        B"00111001" when B"1001101101",  -- INDEX 621
1763
        B"01100001" when B"1001101110",  -- INDEX 622
1764
        B"01111010" when B"1001101111",  -- INDEX 623
1765
        B"01111111" when B"1001110000",  -- INDEX 624
1766
        B"01110010" when B"1001110001",  -- INDEX 625
1767
        B"01010010" when B"1001110010",  -- INDEX 626
1768
        B"00100110" when B"1001110011",  -- INDEX 627
1769
        B"11110100" when B"1001110100",  -- INDEX 628
1770
        B"11000100" when B"1001110101",  -- INDEX 629
1771
        B"10011101" when B"1001110110",  -- INDEX 630
1772
        B"10000101" when B"1001110111",  -- INDEX 631
1773
        B"10000001" when B"1001111000",  -- INDEX 632
1774
        B"10010000" when B"1001111001",  -- INDEX 633
1775
        B"10110000" when B"1001111010",  -- INDEX 634
1776
        B"11011100" when B"1001111011",  -- INDEX 635
1777
        B"00001110" when B"1001111100",  -- INDEX 636
1778
        B"00111110" when B"1001111101",  -- INDEX 637
1779
        B"01100101" when B"1001111110",  -- INDEX 638
1780
        B"01111011" when B"1001111111",  -- INDEX 639
1781
        B"01111111" when B"1010000000",  -- INDEX 640
1782
        B"01101111" when B"1010000001",  -- INDEX 641
1783
        B"01001110" when B"1010000010",  -- INDEX 642
1784
        B"00100001" when B"1010000011",  -- INDEX 643
1785
        B"11101111" when B"1010000100",  -- INDEX 644
1786
        B"11000000" when B"1010000101",  -- INDEX 645
1787
        B"10011010" when B"1010000110",  -- INDEX 646
1788
        B"10000100" when B"1010000111",  -- INDEX 647
1789
        B"10000001" when B"1010001000",  -- INDEX 648
1790
        B"10010010" when B"1010001001",  -- INDEX 649
1791
        B"10110011" when B"1010001010",  -- INDEX 650
1792
        B"11100001" when B"1010001011",  -- INDEX 651
1793
        B"00010011" when B"1010001100",  -- INDEX 652
1794
        B"01000010" when B"1010001101",  -- INDEX 653
1795
        B"01100111" when B"1010001110",  -- INDEX 654
1796
        B"01111100" when B"1010001111",  -- INDEX 655
1797
        B"01111110" when B"1010010000",  -- INDEX 656
1798
        B"01101101" when B"1010010001",  -- INDEX 657
1799
        B"01001011" when B"1010010010",  -- INDEX 658
1800
        B"00011101" when B"1010010011",  -- INDEX 659
1801
        B"11101011" when B"1010010100",  -- INDEX 660
1802
        B"10111100" when B"1010010101",  -- INDEX 661
1803
        B"10011000" when B"1010010110",  -- INDEX 662
1804
        B"10000011" when B"1010010111",  -- INDEX 663
1805
        B"10000010" when B"1010011000",  -- INDEX 664
1806
        B"10010100" when B"1010011001",  -- INDEX 665
1807
        B"10110110" when B"1010011010",  -- INDEX 666
1808
        B"11100100" when B"1010011011",  -- INDEX 667
1809
        B"00010110" when B"1010011100",  -- INDEX 668
1810
        B"01000101" when B"1010011101",  -- INDEX 669
1811
        B"01101001" when B"1010011110",  -- INDEX 670
1812
        B"01111101" when B"1010011111",  -- INDEX 671
1813
        B"01111110" when B"1010100000",  -- INDEX 672
1814
        B"01101100" when B"1010100001",  -- INDEX 673
1815
        B"01001001" when B"1010100010",  -- INDEX 674
1816
        B"00011011" when B"1010100011",  -- INDEX 675
1817
        B"11101001" when B"1010100100",  -- INDEX 676
1818
        B"10111010" when B"1010100101",  -- INDEX 677
1819
        B"10010110" when B"1010100110",  -- INDEX 678
1820
        B"10000011" when B"1010100111",  -- INDEX 679
1821
        B"10000010" when B"1010101000",  -- INDEX 680
1822
        B"10010101" when B"1010101001",  -- INDEX 681
1823
        B"10111000" when B"1010101010",  -- INDEX 682
1824
        B"11100110" when B"1010101011",  -- INDEX 683
1825
        B"00011000" when B"1010101100",  -- INDEX 684
1826
        B"01000110" when B"1010101101",  -- INDEX 685
1827
        B"01101010" when B"1010101110",  -- INDEX 686
1828
        B"01111101" when B"1010101111",  -- INDEX 687
1829
        B"01111110" when B"1010110000",  -- INDEX 688
1830
        B"01101011" when B"1010110001",  -- INDEX 689
1831
        B"01001000" when B"1010110010",  -- INDEX 690
1832
        B"00011001" when B"1010110011",  -- INDEX 691
1833
        B"11100111" when B"1010110100",  -- INDEX 692
1834
        B"10111001" when B"1010110101",  -- INDEX 693
1835
        B"10010110" when B"1010110110",  -- INDEX 694
1836
        B"10000011" when B"1010110111",  -- INDEX 695
1837
        B"10000010" when B"1010111000",  -- INDEX 696
1838
        B"10010101" when B"1010111001",  -- INDEX 697
1839
        B"10111001" when B"1010111010",  -- INDEX 698
1840
        B"11100111" when B"1010111011",  -- INDEX 699
1841
        B"00011001" when B"1010111100",  -- INDEX 700
1842
        B"01000111" when B"1010111101",  -- INDEX 701
1843
        B"01101010" when B"1010111110",  -- INDEX 702
1844
        B"01111101" when B"1010111111",  -- INDEX 703
1845
        B"01111110" when B"1011000000",  -- INDEX 704
1846
        B"01101011" when B"1011000001",  -- INDEX 705
1847
        B"01000111" when B"1011000010",  -- INDEX 706
1848
        B"00011001" when B"1011000011",  -- INDEX 707
1849
        B"11100111" when B"1011000100",  -- INDEX 708
1850
        B"10111001" when B"1011000101",  -- INDEX 709
1851
        B"10010110" when B"1011000110",  -- INDEX 710
1852
        B"10000011" when B"1011000111",  -- INDEX 711
1853
        B"10000010" when B"1011001000",  -- INDEX 712
1854
        B"10010101" when B"1011001001",  -- INDEX 713
1855
        B"10111000" when B"1011001010",  -- INDEX 714
1856
        B"11100110" when B"1011001011",  -- INDEX 715
1857
        B"00011000" when B"1011001100",  -- INDEX 716
1858
        B"01000110" when B"1011001101",  -- INDEX 717
1859
        B"01101010" when B"1011001110",  -- INDEX 718
1860
        B"01111101" when B"1011001111",  -- INDEX 719
1861
        B"01111110" when B"1011010000",  -- INDEX 720
1862
        B"01101011" when B"1011010001",  -- INDEX 721
1863
        B"01001000" when B"1011010010",  -- INDEX 722
1864
        B"00011010" when B"1011010011",  -- INDEX 723
1865
        B"11101001" when B"1011010100",  -- INDEX 724
1866
        B"10111010" when B"1011010101",  -- INDEX 725
1867
        B"10010111" when B"1011010110",  -- INDEX 726
1868
        B"10000011" when B"1011010111",  -- INDEX 727
1869
        B"10000010" when B"1011011000",  -- INDEX 728
1870
        B"10010100" when B"1011011001",  -- INDEX 729
1871
        B"10110111" when B"1011011010",  -- INDEX 730
1872
        B"11100100" when B"1011011011",  -- INDEX 731
1873
        B"00010110" when B"1011011100",  -- INDEX 732
1874
        B"01000101" when B"1011011101",  -- INDEX 733
1875
        B"01101001" when B"1011011110",  -- INDEX 734
1876
        B"01111101" when B"1011011111",  -- INDEX 735
1877
        B"01111110" when B"1011100000",  -- INDEX 736
1878
        B"01101100" when B"1011100001",  -- INDEX 737
1879
        B"01001010" when B"1011100010",  -- INDEX 738
1880
        B"00011101" when B"1011100011",  -- INDEX 739
1881
        B"11101011" when B"1011100100",  -- INDEX 740
1882
        B"10111101" when B"1011100101",  -- INDEX 741
1883
        B"10011000" when B"1011100110",  -- INDEX 742
1884
        B"10000011" when B"1011100111",  -- INDEX 743
1885
        B"10000010" when B"1011101000",  -- INDEX 744
1886
        B"10010011" when B"1011101001",  -- INDEX 745
1887
        B"10110100" when B"1011101010",  -- INDEX 746
1888
        B"11100001" when B"1011101011",  -- INDEX 747
1889
        B"00010011" when B"1011101100",  -- INDEX 748
1890
        B"01000010" when B"1011101101",  -- INDEX 749
1891
        B"01100111" when B"1011101110",  -- INDEX 750
1892
        B"01111100" when B"1011101111",  -- INDEX 751
1893
        B"01111111" when B"1011110000",  -- INDEX 752
1894
        B"01101110" when B"1011110001",  -- INDEX 753
1895
        B"01001101" when B"1011110010",  -- INDEX 754
1896
        B"00100000" when B"1011110011",  -- INDEX 755
1897
        B"11101111" when B"1011110100",  -- INDEX 756
1898
        B"11000000" when B"1011110101",  -- INDEX 757
1899
        B"10011011" when B"1011110110",  -- INDEX 758
1900
        B"10000100" when B"1011110111",  -- INDEX 759
1901
        B"10000001" when B"1011111000",  -- INDEX 760
1902
        B"10010001" when B"1011111001",  -- INDEX 761
1903
        B"10110001" when B"1011111010",  -- INDEX 762
1904
        B"11011101" when B"1011111011",  -- INDEX 763
1905
        B"00001111" when B"1011111100",  -- INDEX 764
1906
        B"00111110" when B"1011111101",  -- INDEX 765
1907
        B"01100100" when B"1011111110",  -- INDEX 766
1908
        B"01111011" when B"1011111111",  -- INDEX 767
1909
        B"01111111" when B"1100000000",  -- INDEX 768
1910
        B"01110001" when B"1100000001",  -- INDEX 769
1911
        B"01010001" when B"1100000010",  -- INDEX 770
1912
        B"00100101" when B"1100000011",  -- INDEX 771
1913
        B"11110100" when B"1100000100",  -- INDEX 772
1914
        B"11000100" when B"1100000101",  -- INDEX 773
1915
        B"10011110" when B"1100000110",  -- INDEX 774
1916
        B"10000110" when B"1100000111",  -- INDEX 775
1917
        B"10000000" when B"1100001000",  -- INDEX 776
1918
        B"10001110" when B"1100001001",  -- INDEX 777
1919
        B"10101101" when B"1100001010",  -- INDEX 778
1920
        B"11011000" when B"1100001011",  -- INDEX 779
1921
        B"00001001" when B"1100001100",  -- INDEX 780
1922
        B"00111001" when B"1100001101",  -- INDEX 781
1923
        B"01100000" when B"1100001110",  -- INDEX 782
1924
        B"01111001" when B"1100001111",  -- INDEX 783
1925
        B"01111111" when B"1100010000",  -- INDEX 784
1926
        B"01110011" when B"1100010001",  -- INDEX 785
1927
        B"01010110" when B"1100010010",  -- INDEX 786
1928
        B"00101011" when B"1100010011",  -- INDEX 787
1929
        B"11111010" when B"1100010100",  -- INDEX 788
1930
        B"11001010" when B"1100010101",  -- INDEX 789
1931
        B"10100010" when B"1100010110",  -- INDEX 790
1932
        B"10001000" when B"1100010111",  -- INDEX 791
1933
        B"10000000" when B"1100011000",  -- INDEX 792
1934
        B"10001011" when B"1100011001",  -- INDEX 793
1935
        B"10101000" when B"1100011010",  -- INDEX 794
1936
        B"11010001" when B"1100011011",  -- INDEX 795
1937
        B"00000010" when B"1100011100",  -- INDEX 796
1938
        B"00110010" when B"1100011101",  -- INDEX 797
1939
        B"01011011" when B"1100011110",  -- INDEX 798
1940
        B"01110110" when B"1100011111",  -- INDEX 799
1941
        B"01111111" when B"1100100000",  -- INDEX 800
1942
        B"01110110" when B"1100100001",  -- INDEX 801
1943
        B"01011011" when B"1100100010",  -- INDEX 802
1944
        B"00110010" when B"1100100011",  -- INDEX 803
1945
        B"00000010" when B"1100100100",  -- INDEX 804
1946
        B"11010001" when B"1100100101",  -- INDEX 805
1947
        B"10101000" when B"1100100110",  -- INDEX 806
1948
        B"10001011" when B"1100100111",  -- INDEX 807
1949
        B"10000000" when B"1100101000",  -- INDEX 808
1950
        B"10001000" when B"1100101001",  -- INDEX 809
1951
        B"10100010" when B"1100101010",  -- INDEX 810
1952
        B"11001010" when B"1100101011",  -- INDEX 811
1953
        B"11111010" when B"1100101100",  -- INDEX 812
1954
        B"00101011" when B"1100101101",  -- INDEX 813
1955
        B"01010110" when B"1100101110",  -- INDEX 814
1956
        B"01110011" when B"1100101111",  -- INDEX 815
1957
        B"01111111" when B"1100110000",  -- INDEX 816
1958
        B"01111001" when B"1100110001",  -- INDEX 817
1959
        B"01100000" when B"1100110010",  -- INDEX 818
1960
        B"00111001" when B"1100110011",  -- INDEX 819
1961
        B"00001001" when B"1100110100",  -- INDEX 820
1962
        B"11011000" when B"1100110101",  -- INDEX 821
1963
        B"10101101" when B"1100110110",  -- INDEX 822
1964
        B"10001110" when B"1100110111",  -- INDEX 823
1965
        B"10000000" when B"1100111000",  -- INDEX 824
1966
        B"10000110" when B"1100111001",  -- INDEX 825
1967
        B"10011110" when B"1100111010",  -- INDEX 826
1968
        B"11000100" when B"1100111011",  -- INDEX 827
1969
        B"11110100" when B"1100111100",  -- INDEX 828
1970
        B"00100101" when B"1100111101",  -- INDEX 829
1971
        B"01010001" when B"1100111110",  -- INDEX 830
1972
        B"01110001" when B"1100111111",  -- INDEX 831
1973
        B"01111111" when B"1101000000",  -- INDEX 832
1974
        B"01111011" when B"1101000001",  -- INDEX 833
1975
        B"01100100" when B"1101000010",  -- INDEX 834
1976
        B"00111110" when B"1101000011",  -- INDEX 835
1977
        B"00001111" when B"1101000100",  -- INDEX 836
1978
        B"11011101" when B"1101000101",  -- INDEX 837
1979
        B"10110001" when B"1101000110",  -- INDEX 838
1980
        B"10010001" when B"1101000111",  -- INDEX 839
1981
        B"10000001" when B"1101001000",  -- INDEX 840
1982
        B"10000100" when B"1101001001",  -- INDEX 841
1983
        B"10011010" when B"1101001010",  -- INDEX 842
1984
        B"11000000" when B"1101001011",  -- INDEX 843
1985
        B"11101111" when B"1101001100",  -- INDEX 844
1986
        B"00100000" when B"1101001101",  -- INDEX 845
1987
        B"01001101" when B"1101001110",  -- INDEX 846
1988
        B"01101110" when B"1101001111",  -- INDEX 847
1989
        B"01111111" when B"1101010000",  -- INDEX 848
1990
        B"01111100" when B"1101010001",  -- INDEX 849
1991
        B"01100111" when B"1101010010",  -- INDEX 850
1992
        B"01000010" when B"1101010011",  -- INDEX 851
1993
        B"00010011" when B"1101010100",  -- INDEX 852
1994
        B"11100010" when B"1101010101",  -- INDEX 853
1995
        B"10110101" when B"1101010110",  -- INDEX 854
1996
        B"10010011" when B"1101010111",  -- INDEX 855
1997
        B"10000010" when B"1101011000",  -- INDEX 856
1998
        B"10000011" when B"1101011001",  -- INDEX 857
1999
        B"10011000" when B"1101011010",  -- INDEX 858
2000
        B"10111100" when B"1101011011",  -- INDEX 859
2001
        B"11101011" when B"1101011100",  -- INDEX 860
2002
        B"00011101" when B"1101011101",  -- INDEX 861
2003
        B"01001010" when B"1101011110",  -- INDEX 862
2004
        B"01101100" when B"1101011111",  -- INDEX 863
2005
        B"01111110" when B"1101100000",  -- INDEX 864
2006
        B"01111101" when B"1101100001",  -- INDEX 865
2007
        B"01101001" when B"1101100010",  -- INDEX 866
2008
        B"01000101" when B"1101100011",  -- INDEX 867
2009
        B"00010111" when B"1101100100",  -- INDEX 868
2010
        B"11100101" when B"1101100101",  -- INDEX 869
2011
        B"10110111" when B"1101100110",  -- INDEX 870
2012
        B"10010101" when B"1101100111",  -- INDEX 871
2013
        B"10000010" when B"1101101000",  -- INDEX 872
2014
        B"10000011" when B"1101101001",  -- INDEX 873
2015
        B"10010110" when B"1101101010",  -- INDEX 874
2016
        B"10111010" when B"1101101011",  -- INDEX 875
2017
        B"11101000" when B"1101101100",  -- INDEX 876
2018
        B"00011010" when B"1101101101",  -- INDEX 877
2019
        B"01001000" when B"1101101110",  -- INDEX 878
2020
        B"01101011" when B"1101101111",  -- INDEX 879
2021
        B"01111110" when B"1101110000",  -- INDEX 880
2022
        B"01111101" when B"1101110001",  -- INDEX 881
2023
        B"01101010" when B"1101110010",  -- INDEX 882
2024
        B"01000111" when B"1101110011",  -- INDEX 883
2025
        B"00011001" when B"1101110100",  -- INDEX 884
2026
        B"11100111" when B"1101110101",  -- INDEX 885
2027
        B"10111001" when B"1101110110",  -- INDEX 886
2028
        B"10010101" when B"1101110111",  -- INDEX 887
2029
        B"10000010" when B"1101111000",  -- INDEX 888
2030
        B"10000010" when B"1101111001",  -- INDEX 889
2031
        B"10010110" when B"1101111010",  -- INDEX 890
2032
        B"10111001" when B"1101111011",  -- INDEX 891
2033
        B"11100111" when B"1101111100",  -- INDEX 892
2034
        B"00011001" when B"1101111101",  -- INDEX 893
2035
        B"01000111" when B"1101111110",  -- INDEX 894
2036
        B"01101010" when B"1101111111",  -- INDEX 895
2037
        B"01111110" when B"1110000000",  -- INDEX 896
2038
        B"01111110" when B"1110000001",  -- INDEX 897
2039
        B"01101011" when B"1110000010",  -- INDEX 898
2040
        B"01000111" when B"1110000011",  -- INDEX 899
2041
        B"00011001" when B"1110000100",  -- INDEX 900
2042
        B"11100111" when B"1110000101",  -- INDEX 901
2043
        B"10111001" when B"1110000110",  -- INDEX 902
2044
        B"10010110" when B"1110000111",  -- INDEX 903
2045
        B"10000011" when B"1110001000",  -- INDEX 904
2046
        B"10000010" when B"1110001001",  -- INDEX 905
2047
        B"10010101" when B"1110001010",  -- INDEX 906
2048
        B"10111001" when B"1110001011",  -- INDEX 907
2049
        B"11100111" when B"1110001100",  -- INDEX 908
2050
        B"00011001" when B"1110001101",  -- INDEX 909
2051
        B"01000111" when B"1110001110",  -- INDEX 910
2052
        B"01101010" when B"1110001111",  -- INDEX 911
2053
        B"01111110" when B"1110010000",  -- INDEX 912
2054
        B"01111110" when B"1110010001",  -- INDEX 913
2055
        B"01101010" when B"1110010010",  -- INDEX 914
2056
        B"01000111" when B"1110010011",  -- INDEX 915
2057
        B"00011001" when B"1110010100",  -- INDEX 916
2058
        B"11100111" when B"1110010101",  -- INDEX 917
2059
        B"10111000" when B"1110010110",  -- INDEX 918
2060
        B"10010101" when B"1110010111",  -- INDEX 919
2061
        B"10000010" when B"1110011000",  -- INDEX 920
2062
        B"10000011" when B"1110011001",  -- INDEX 921
2063
        B"10010110" when B"1110011010",  -- INDEX 922
2064
        B"10111010" when B"1110011011",  -- INDEX 923
2065
        B"11101000" when B"1110011100",  -- INDEX 924
2066
        B"00011010" when B"1110011101",  -- INDEX 925
2067
        B"01001000" when B"1110011110",  -- INDEX 926
2068
        B"01101011" when B"1110011111",  -- INDEX 927
2069
        B"01111110" when B"1110100000",  -- INDEX 928
2070
        B"01111101" when B"1110100001",  -- INDEX 929
2071
        B"01101001" when B"1110100010",  -- INDEX 930
2072
        B"01000101" when B"1110100011",  -- INDEX 931
2073
        B"00010111" when B"1110100100",  -- INDEX 932
2074
        B"11100101" when B"1110100101",  -- INDEX 933
2075
        B"10110111" when B"1110100110",  -- INDEX 934
2076
        B"10010100" when B"1110100111",  -- INDEX 935
2077
        B"10000010" when B"1110101000",  -- INDEX 936
2078
        B"10000011" when B"1110101001",  -- INDEX 937
2079
        B"10010111" when B"1110101010",  -- INDEX 938
2080
        B"10111100" when B"1110101011",  -- INDEX 939
2081
        B"11101011" when B"1110101100",  -- INDEX 940
2082
        B"00011101" when B"1110101101",  -- INDEX 941
2083
        B"01001010" when B"1110101110",  -- INDEX 942
2084
        B"01101101" when B"1110101111",  -- INDEX 943
2085
        B"01111110" when B"1110110000",  -- INDEX 944
2086
        B"01111101" when B"1110110001",  -- INDEX 945
2087
        B"01101000" when B"1110110010",  -- INDEX 946
2088
        B"01000011" when B"1110110011",  -- INDEX 947
2089
        B"00010100" when B"1110110100",  -- INDEX 948
2090
        B"11100010" when B"1110110101",  -- INDEX 949
2091
        B"10110100" when B"1110110110",  -- INDEX 950
2092
        B"10010010" when B"1110110111",  -- INDEX 951
2093
        B"10000001" when B"1110111000",  -- INDEX 952
2094
        B"10000100" when B"1110111001",  -- INDEX 953
2095
        B"10011010" when B"1110111010",  -- INDEX 954
2096
        B"10111111" when B"1110111011",  -- INDEX 955
2097
        B"11101110" when B"1110111100",  -- INDEX 956
2098
        B"00100001" when B"1110111101",  -- INDEX 957
2099
        B"01001110" when B"1110111110",  -- INDEX 958
2100
        B"01101111" when B"1110111111",  -- INDEX 959
2101
        B"01111111" when B"1111000000",  -- INDEX 960
2102
        B"01111011" when B"1111000001",  -- INDEX 961
2103
        B"01100101" when B"1111000010",  -- INDEX 962
2104
        B"00111111" when B"1111000011",  -- INDEX 963
2105
        B"00001111" when B"1111000100",  -- INDEX 964
2106
        B"11011101" when B"1111000101",  -- INDEX 965
2107
        B"10110000" when B"1111000110",  -- INDEX 966
2108
        B"10010000" when B"1111000111",  -- INDEX 967
2109
        B"10000001" when B"1111001000",  -- INDEX 968
2110
        B"10000101" when B"1111001001",  -- INDEX 969
2111
        B"10011101" when B"1111001010",  -- INDEX 970
2112
        B"11000011" when B"1111001011",  -- INDEX 971
2113
        B"11110011" when B"1111001100",  -- INDEX 972
2114
        B"00100110" when B"1111001101",  -- INDEX 973
2115
        B"01010010" when B"1111001110",  -- INDEX 974
2116
        B"01110001" when B"1111001111",  -- INDEX 975
2117
        B"01111111" when B"1111010000",  -- INDEX 976
2118
        B"01111010" when B"1111010001",  -- INDEX 977
2119
        B"01100001" when B"1111010010",  -- INDEX 978
2120
        B"00111010" when B"1111010011",  -- INDEX 979
2121
        B"00001001" when B"1111010100",  -- INDEX 980
2122
        B"11011000" when B"1111010101",  -- INDEX 981
2123
        B"10101100" when B"1111010110",  -- INDEX 982
2124
        B"10001101" when B"1111010111",  -- INDEX 983
2125
        B"10000000" when B"1111011000",  -- INDEX 984
2126
        B"10000111" when B"1111011001",  -- INDEX 985
2127
        B"10100001" when B"1111011010",  -- INDEX 986
2128
        B"11001001" when B"1111011011",  -- INDEX 987
2129
        B"11111010" when B"1111011100",  -- INDEX 988
2130
        B"00101100" when B"1111011101",  -- INDEX 989
2131
        B"01010111" when B"1111011110",  -- INDEX 990
2132
        B"01110100" when B"1111011111",  -- INDEX 991
2133
        B"01111111" when B"1111100000",  -- INDEX 992
2134
        B"01111000" when B"1111100001",  -- INDEX 993
2135
        B"01011101" when B"1111100010",  -- INDEX 994
2136
        B"00110100" when B"1111100011",  -- INDEX 995
2137
        B"00000010" when B"1111100100",  -- INDEX 996
2138
        B"11010001" when B"1111100101",  -- INDEX 997
2139
        B"10100111" when B"1111100110",  -- INDEX 998
2140
        B"10001010" when B"1111100111",  -- INDEX 999
2141
 
2142
-- END INPUT FM SIGNAL
2143
        B"00000000" when others;
2144
 
2145
end input_data;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.