OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [bench/] [input_fm.vhdl] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 arif_endro
-- $Id: input_fm.vhdl,v 1.1.1.1 2005-01-04 02:05:56 arif_endro Exp $
2
-------------------------------------------------------------------------------
3
-- Title       : Input signal FM
4
-- Project     : FM Receiver 
5
-------------------------------------------------------------------------------
6
-- File        : input_fm.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2004/12/22
9
-- Last update : 
10
-- Simulators  : Modelsim 6.0
11
-- Synthesizers: 
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Input signal FM 1000 signal
15
-------------------------------------------------------------------------------
16
-- Copyright (c) 2004 Arif E. Nugroho
17
-- This VHDL design file is an open design; you can redistribute it and/or
18
-- modify it and/or implement it after contacting the author
19
-------------------------------------------------------------------------------
20
 
21
library IEEE;
22
use IEEE.std_logic_1164.all;
23
use IEEE.std_logic_arith.all;
24
 
25
entity input_fm is
26
   port (
27
--   clear              : in  bit;
28
--   clock_out          : out bit;
29
--   counter            : in bit_vector (09 downto 0);
30
   clock              : in  bit;
31
   clear              : in  bit;
32
   test_signal_fm     : out bit_vector (07 downto 0);
33
   test_signal_fmTri  : out bit_vector (07 downto 0)
34
   );
35
end input_fm;
36
 
37
architecture input_data of input_fm is
38
component adder_10bit
39
        port (
40
        addend_10bit          : in  bit_vector (09 downto 0);
41
        augend_10bit          : in  bit_vector (09 downto 0);
42
        adder10_output        : out bit_vector (10 downto 0)
43
        );
44
end component;
45
 
46
-- signal clock                 : bit;
47
-- signal clear                 : bit;
48
signal test_signal_fm_int    : bit_vector (07 downto 0);
49
signal test_signal_fmTri_int : bit_vector (07 downto 0);
50
signal counter               : bit_vector (09 downto 0);
51
signal counter_tmp           : bit_vector (10 downto 0);
52
signal one_increment         : bit_vector (09 downto 0);
53
-- signal counter               : bit_vector (09 downto 0);
54
begin
55
 
56
 
57
    one_increment (00) <= '1';
58
    one_increment (01) <= '0';
59
    one_increment (02) <= '0';
60
    one_increment (03) <= '0';
61
    one_increment (04) <= '0';
62
    one_increment (05) <= '0';
63
    one_increment (06) <= '0';
64
    one_increment (07) <= '0';
65
    one_increment (08) <= '0';
66
    one_increment (09) <= '0';
67
-- process
68
--      variable delay_time : time := 1ns; 
69
--      begin
70
--      wait for delay_time;
71
--      clock <= not(clock);
72
--      clock <= '0';
73
--      clock <= '1';
74
-- end process;
75
 
76
-- process
77
--      variable run_time : time := 1024ns;
78
--      begin
79
--      wait for run_time;
80
--      clear <= '1';
81
--      exit;
82
-- end process;
83
 
84
counter_one : adder_10bit
85
    port map (
86
    addend_10bit   => counter,
87
    augend_10bit   => one_increment,
88
    adder10_output => counter_tmp
89
    );
90
 
91
process (clock, clear)
92
begin
93
--    if (clear = '1') then
94
--              counter (09 downto 0) <= (others => B"0000000000");
95
--    elsif (((clock = '1') and clock'event) and (not(clear = '1')) then
96
    if (((clock = '1') and (not( clear = '1'))) and clock'event) then
97
                counter(09 downto 0) <= counter_tmp(09 downto 0);
98
                -- clock_out         <= clock;
99
                test_signal_fm    <= test_signal_fm_int;
100
                test_signal_fmTri <= test_signal_fmTri_int;
101
    elsif (clear = '1') then
102
                counter           <= (others => '0');
103
                test_signal_fm    <= (others => '0');
104
                test_signal_fmTri <= (others => '0');
105
    end if;
106
end process;
107
 
108
        with counter (09 downto 0) select
109
        test_signal_fm_int <=
110
-- START INPUT FM SIGNAL
111
 
112
        B"01111111" when B"0000000000",  -- INDEX 0
113
        B"01110110" when B"0000000001",  -- INDEX 1
114
        B"01011010" when B"0000000010",  -- INDEX 2
115
        B"00110000" when B"0000000011",  -- INDEX 3
116
        B"11111110" when B"0000000100",  -- INDEX 4
117
        B"11001101" when B"0000000101",  -- INDEX 5
118
        B"10100011" when B"0000000110",  -- INDEX 6
119
        B"10001000" when B"0000000111",  -- INDEX 7
120
        B"10000000" when B"0000001000",  -- INDEX 8
121
        B"10001100" when B"0000001001",  -- INDEX 9
122
        B"10101001" when B"0000001010",  -- INDEX 10
123
        B"11010100" when B"0000001011",  -- INDEX 11
124
        B"00000110" when B"0000001100",  -- INDEX 12
125
        B"00110111" when B"0000001101",  -- INDEX 13
126
        B"01011111" when B"0000001110",  -- INDEX 14
127
        B"01111001" when B"0000001111",  -- INDEX 15
128
        B"01111111" when B"0000010000",  -- INDEX 16
129
        B"01110011" when B"0000010001",  -- INDEX 17
130
        B"01010100" when B"0000010010",  -- INDEX 18
131
        B"00101000" when B"0000010011",  -- INDEX 19
132
        B"11110110" when B"0000010100",  -- INDEX 20
133
        B"11000101" when B"0000010101",  -- INDEX 21
134
        B"10011110" when B"0000010110",  -- INDEX 22
135
        B"10000110" when B"0000010111",  -- INDEX 23
136
        B"10000001" when B"0000011000",  -- INDEX 24
137
        B"10001111" when B"0000011001",  -- INDEX 25
138
        B"10101111" when B"0000011010",  -- INDEX 26
139
        B"11011100" when B"0000011011",  -- INDEX 27
140
        B"00001110" when B"0000011100",  -- INDEX 28
141
        B"00111110" when B"0000011101",  -- INDEX 29
142
        B"01100101" when B"0000011110",  -- INDEX 30
143
        B"01111011" when B"0000011111",  -- INDEX 31
144
        B"01111111" when B"0000100000",  -- INDEX 32
145
        B"01101111" when B"0000100001",  -- INDEX 33
146
        B"01001110" when B"0000100010",  -- INDEX 34
147
        B"00100000" when B"0000100011",  -- INDEX 35
148
        B"11101110" when B"0000100100",  -- INDEX 36
149
        B"10111110" when B"0000100101",  -- INDEX 37
150
        B"10011001" when B"0000100110",  -- INDEX 38
151
        B"10000100" when B"0000100111",  -- INDEX 39
152
        B"10000010" when B"0000101000",  -- INDEX 40
153
        B"10010011" when B"0000101001",  -- INDEX 41
154
        B"10110110" when B"0000101010",  -- INDEX 42
155
        B"11100100" when B"0000101011",  -- INDEX 43
156
        B"00010110" when B"0000101100",  -- INDEX 44
157
        B"01000101" when B"0000101101",  -- INDEX 45
158
        B"01101001" when B"0000101110",  -- INDEX 46
159
        B"01111101" when B"0000101111",  -- INDEX 47
160
        B"01111110" when B"0000110000",  -- INDEX 48
161
        B"01101011" when B"0000110001",  -- INDEX 49
162
        B"01000111" when B"0000110010",  -- INDEX 50
163
        B"00011000" when B"0000110011",  -- INDEX 51
164
        B"11100110" when B"0000110100",  -- INDEX 52
165
        B"10111000" when B"0000110101",  -- INDEX 53
166
        B"10010100" when B"0000110110",  -- INDEX 54
167
        B"10000010" when B"0000110111",  -- INDEX 55
168
        B"10000011" when B"0000111000",  -- INDEX 56
169
        B"10011000" when B"0000111001",  -- INDEX 57
170
        B"10111100" when B"0000111010",  -- INDEX 58
171
        B"11101011" when B"0000111011",  -- INDEX 59
172
        B"00011110" when B"0000111100",  -- INDEX 60
173
        B"01001100" when B"0000111101",  -- INDEX 61
174
        B"01101110" when B"0000111110",  -- INDEX 62
175
        B"01111111" when B"0000111111",  -- INDEX 63
176
        B"01111100" when B"0001000000",  -- INDEX 64
177
        B"01100110" when B"0001000001",  -- INDEX 65
178
        B"01000000" when B"0001000010",  -- INDEX 66
179
        B"00010001" when B"0001000011",  -- INDEX 67
180
        B"11011110" when B"0001000100",  -- INDEX 68
181
        B"10110001" when B"0001000101",  -- INDEX 69
182
        B"10010000" when B"0001000110",  -- INDEX 70
183
        B"10000001" when B"0001000111",  -- INDEX 71
184
        B"10000101" when B"0001001000",  -- INDEX 72
185
        B"10011100" when B"0001001001",  -- INDEX 73
186
        B"11000011" when B"0001001010",  -- INDEX 74
187
        B"11110011" when B"0001001011",  -- INDEX 75
188
        B"00100110" when B"0001001100",  -- INDEX 76
189
        B"01010010" when B"0001001101",  -- INDEX 77
190
        B"01110010" when B"0001001110",  -- INDEX 78
191
        B"01111111" when B"0001001111",  -- INDEX 79
192
        B"01111010" when B"0001010000",  -- INDEX 80
193
        B"01100001" when B"0001010001",  -- INDEX 81
194
        B"00111001" when B"0001010010",  -- INDEX 82
195
        B"00001001" when B"0001010011",  -- INDEX 83
196
        B"11010111" when B"0001010100",  -- INDEX 84
197
        B"10101011" when B"0001010101",  -- INDEX 85
198
        B"10001101" when B"0001010110",  -- INDEX 86
199
        B"10000000" when B"0001010111",  -- INDEX 87
200
        B"10001000" when B"0001011000",  -- INDEX 88
201
        B"10100010" when B"0001011001",  -- INDEX 89
202
        B"11001010" when B"0001011010",  -- INDEX 90
203
        B"11111011" when B"0001011011",  -- INDEX 91
204
        B"00101101" when B"0001011100",  -- INDEX 92
205
        B"01011000" when B"0001011101",  -- INDEX 93
206
        B"01110101" when B"0001011110",  -- INDEX 94
207
        B"01111111" when B"0001011111",  -- INDEX 95
208
        B"01110111" when B"0001100000",  -- INDEX 96
209
        B"01011100" when B"0001100001",  -- INDEX 97
210
        B"00110010" when B"0001100010",  -- INDEX 98
211
        B"00000001" when B"0001100011",  -- INDEX 99
212
        B"11001111" when B"0001100100",  -- INDEX 100
213
        B"10100101" when B"0001100101",  -- INDEX 101
214
        B"10001001" when B"0001100110",  -- INDEX 102
215
        B"10000000" when B"0001100111",  -- INDEX 103
216
        B"10001011" when B"0001101000",  -- INDEX 104
217
        B"10100111" when B"0001101001",  -- INDEX 105
218
        B"11010010" when B"0001101010",  -- INDEX 106
219
        B"00000100" when B"0001101011",  -- INDEX 107
220
        B"00110101" when B"0001101100",  -- INDEX 108
221
        B"01011110" when B"0001101101",  -- INDEX 109
222
        B"01111000" when B"0001101110",  -- INDEX 110
223
        B"01111111" when B"0001101111",  -- INDEX 111
224
        B"01110100" when B"0001110000",  -- INDEX 112
225
        B"01010110" when B"0001110001",  -- INDEX 113
226
        B"00101010" when B"0001110010",  -- INDEX 114
227
        B"11111000" when B"0001110011",  -- INDEX 115
228
        B"11001000" when B"0001110100",  -- INDEX 116
229
        B"10100000" when B"0001110101",  -- INDEX 117
230
        B"10000111" when B"0001110110",  -- INDEX 118
231
        B"10000000" when B"0001110111",  -- INDEX 119
232
        B"10001110" when B"0001111000",  -- INDEX 120
233
        B"10101101" when B"0001111001",  -- INDEX 121
234
        B"11011001" when B"0001111010",  -- INDEX 122
235
        B"00001100" when B"0001111011",  -- INDEX 123
236
        B"00111100" when B"0001111100",  -- INDEX 124
237
        B"01100011" when B"0001111101",  -- INDEX 125
238
        B"01111011" when B"0001111110",  -- INDEX 126
239
        B"01111111" when B"0001111111",  -- INDEX 127
240
        B"01110000" when B"0010000000",  -- INDEX 128
241
        B"01010000" when B"0010000001",  -- INDEX 129
242
        B"00100011" when B"0010000010",  -- INDEX 130
243
        B"11110000" when B"0010000011",  -- INDEX 131
244
        B"11000001" when B"0010000100",  -- INDEX 132
245
        B"10011011" when B"0010000101",  -- INDEX 133
246
        B"10000100" when B"0010000110",  -- INDEX 134
247
        B"10000001" when B"0010000111",  -- INDEX 135
248
        B"10010010" when B"0010001000",  -- INDEX 136
249
        B"10110100" when B"0010001001",  -- INDEX 137
250
        B"11100001" when B"0010001010",  -- INDEX 138
251
        B"00010100" when B"0010001011",  -- INDEX 139
252
        B"01000011" when B"0010001100",  -- INDEX 140
253
        B"01101000" when B"0010001101",  -- INDEX 141
254
        B"01111101" when B"0010001110",  -- INDEX 142
255
        B"01111110" when B"0010001111",  -- INDEX 143
256
        B"01101100" when B"0010010000",  -- INDEX 144
257
        B"01001001" when B"0010010001",  -- INDEX 145
258
        B"00011011" when B"0010010010",  -- INDEX 146
259
        B"11101001" when B"0010010011",  -- INDEX 147
260
        B"10111010" when B"0010010100",  -- INDEX 148
261
        B"10010110" when B"0010010101",  -- INDEX 149
262
        B"10000010" when B"0010010110",  -- INDEX 150
263
        B"10000011" when B"0010010111",  -- INDEX 151
264
        B"10010110" when B"0010011000",  -- INDEX 152
265
        B"10111010" when B"0010011001",  -- INDEX 153
266
        B"11101001" when B"0010011010",  -- INDEX 154
267
        B"00011011" when B"0010011011",  -- INDEX 155
268
        B"01001010" when B"0010011100",  -- INDEX 156
269
        B"01101100" when B"0010011101",  -- INDEX 157
270
        B"01111110" when B"0010011110",  -- INDEX 158
271
        B"01111101" when B"0010011111",  -- INDEX 159
272
        B"01101000" when B"0010100000",  -- INDEX 160
273
        B"01000010" when B"0010100001",  -- INDEX 161
274
        B"00010011" when B"0010100010",  -- INDEX 162
275
        B"11100001" when B"0010100011",  -- INDEX 163
276
        B"10110011" when B"0010100100",  -- INDEX 164
277
        B"10010010" when B"0010100101",  -- INDEX 165
278
        B"10000001" when B"0010100110",  -- INDEX 166
279
        B"10000100" when B"0010100111",  -- INDEX 167
280
        B"10011011" when B"0010101000",  -- INDEX 168
281
        B"11000001" when B"0010101001",  -- INDEX 169
282
        B"11110001" when B"0010101010",  -- INDEX 170
283
        B"00100011" when B"0010101011",  -- INDEX 171
284
        B"01010000" when B"0010101100",  -- INDEX 172
285
        B"01110000" when B"0010101101",  -- INDEX 173
286
        B"01111111" when B"0010101110",  -- INDEX 174
287
        B"01111010" when B"0010101111",  -- INDEX 175
288
        B"01100011" when B"0010110000",  -- INDEX 176
289
        B"00111011" when B"0010110001",  -- INDEX 177
290
        B"00001011" when B"0010110010",  -- INDEX 178
291
        B"11011001" when B"0010110011",  -- INDEX 179
292
        B"10101101" when B"0010110100",  -- INDEX 180
293
        B"10001110" when B"0010110101",  -- INDEX 181
294
        B"10000000" when B"0010110110",  -- INDEX 182
295
        B"10000111" when B"0010110111",  -- INDEX 183
296
        B"10100000" when B"0010111000",  -- INDEX 184
297
        B"11001000" when B"0010111001",  -- INDEX 185
298
        B"11111001" when B"0010111010",  -- INDEX 186
299
        B"00101011" when B"0010111011",  -- INDEX 187
300
        B"01010110" when B"0010111100",  -- INDEX 188
301
        B"01110100" when B"0010111101",  -- INDEX 189
302
        B"01111111" when B"0010111110",  -- INDEX 190
303
        B"01111000" when B"0010111111",  -- INDEX 191
304
        B"01011101" when B"0011000000",  -- INDEX 192
305
        B"00110100" when B"0011000001",  -- INDEX 193
306
        B"00000011" when B"0011000010",  -- INDEX 194
307
        B"11010001" when B"0011000011",  -- INDEX 195
308
        B"10100111" when B"0011000100",  -- INDEX 196
309
        B"10001010" when B"0011000101",  -- INDEX 197
310
        B"10000000" when B"0011000110",  -- INDEX 198
311
        B"10001010" when B"0011000111",  -- INDEX 199
312
        B"10100101" when B"0011001000",  -- INDEX 200
313
        B"11001111" when B"0011001001",  -- INDEX 201
314
        B"11111111" when B"0011001010",  -- INDEX 202
315
        B"00110000" when B"0011001011",  -- INDEX 203
316
        B"01011001" when B"0011001100",  -- INDEX 204
317
        B"01110101" when B"0011001101",  -- INDEX 205
318
        B"01111111" when B"0011001110",  -- INDEX 206
319
        B"01111000" when B"0011001111",  -- INDEX 207
320
        B"01011101" when B"0011010000",  -- INDEX 208
321
        B"00110101" when B"0011010001",  -- INDEX 209
322
        B"00000101" when B"0011010010",  -- INDEX 210
323
        B"11010100" when B"0011010011",  -- INDEX 211
324
        B"10101010" when B"0011010100",  -- INDEX 212
325
        B"10001100" when B"0011010101",  -- INDEX 213
326
        B"10000000" when B"0011010110",  -- INDEX 214
327
        B"10000111" when B"0011010111",  -- INDEX 215
328
        B"10100000" when B"0011011000",  -- INDEX 216
329
        B"11000111" when B"0011011001",  -- INDEX 217
330
        B"11110111" when B"0011011010",  -- INDEX 218
331
        B"00101000" when B"0011011011",  -- INDEX 219
332
        B"01010011" when B"0011011100",  -- INDEX 220
333
        B"01110010" when B"0011011101",  -- INDEX 221
334
        B"01111111" when B"0011011110",  -- INDEX 222
335
        B"01111010" when B"0011011111",  -- INDEX 223
336
        B"01100011" when B"0011100000",  -- INDEX 224
337
        B"00111100" when B"0011100001",  -- INDEX 225
338
        B"00001101" when B"0011100010",  -- INDEX 226
339
        B"11011100" when B"0011100011",  -- INDEX 227
340
        B"10110000" when B"0011100100",  -- INDEX 228
341
        B"10010000" when B"0011100101",  -- INDEX 229
342
        B"10000001" when B"0011100110",  -- INDEX 230
343
        B"10000101" when B"0011100111",  -- INDEX 231
344
        B"10011011" when B"0011101000",  -- INDEX 232
345
        B"11000000" when B"0011101001",  -- INDEX 233
346
        B"11101111" when B"0011101010",  -- INDEX 234
347
        B"00100000" when B"0011101011",  -- INDEX 235
348
        B"01001101" when B"0011101100",  -- INDEX 236
349
        B"01101110" when B"0011101101",  -- INDEX 237
350
        B"01111111" when B"0011101110",  -- INDEX 238
351
        B"01111100" when B"0011101111",  -- INDEX 239
352
        B"01101000" when B"0011110000",  -- INDEX 240
353
        B"01000011" when B"0011110001",  -- INDEX 241
354
        B"00010101" when B"0011110010",  -- INDEX 242
355
        B"11100100" when B"0011110011",  -- INDEX 243
356
        B"10110110" when B"0011110100",  -- INDEX 244
357
        B"10010100" when B"0011110101",  -- INDEX 245
358
        B"10000010" when B"0011110110",  -- INDEX 246
359
        B"10000011" when B"0011110111",  -- INDEX 247
360
        B"10010110" when B"0011111000",  -- INDEX 248
361
        B"10111001" when B"0011111001",  -- INDEX 249
362
        B"11100111" when B"0011111010",  -- INDEX 250
363
        B"00011000" when B"0011111011",  -- INDEX 251
364
        B"01000110" when B"0011111100",  -- INDEX 252
365
        B"01101010" when B"0011111101",  -- INDEX 253
366
        B"01111101" when B"0011111110",  -- INDEX 254
367
        B"01111110" when B"0011111111",  -- INDEX 255
368
        B"01101100" when B"0100000000",  -- INDEX 256
369
        B"01001010" when B"0100000001",  -- INDEX 257
370
        B"00011101" when B"0100000010",  -- INDEX 258
371
        B"11101011" when B"0100000011",  -- INDEX 259
372
        B"10111101" when B"0100000100",  -- INDEX 260
373
        B"10011001" when B"0100000101",  -- INDEX 261
374
        B"10000100" when B"0100000110",  -- INDEX 262
375
        B"10000001" when B"0100000111",  -- INDEX 263
376
        B"10010010" when B"0100001000",  -- INDEX 264
377
        B"10110011" when B"0100001001",  -- INDEX 265
378
        B"11011111" when B"0100001010",  -- INDEX 266
379
        B"00010001" when B"0100001011",  -- INDEX 267
380
        B"00111111" when B"0100001100",  -- INDEX 268
381
        B"01100101" when B"0100001101",  -- INDEX 269
382
        B"01111011" when B"0100001110",  -- INDEX 270
383
        B"01111111" when B"0100001111",  -- INDEX 271
384
        B"01110000" when B"0100010000",  -- INDEX 272
385
        B"01010000" when B"0100010001",  -- INDEX 273
386
        B"00100101" when B"0100010010",  -- INDEX 274
387
        B"11110011" when B"0100010011",  -- INDEX 275
388
        B"11000100" when B"0100010100",  -- INDEX 276
389
        B"10011110" when B"0100010101",  -- INDEX 277
390
        B"10000110" when B"0100010110",  -- INDEX 278
391
        B"10000000" when B"0100010111",  -- INDEX 279
392
        B"10001110" when B"0100011000",  -- INDEX 280
393
        B"10101100" when B"0100011001",  -- INDEX 281
394
        B"11010111" when B"0100011010",  -- INDEX 282
395
        B"00001001" when B"0100011011",  -- INDEX 283
396
        B"00111000" when B"0100011100",  -- INDEX 284
397
        B"01100000" when B"0100011101",  -- INDEX 285
398
        B"01111001" when B"0100011110",  -- INDEX 286
399
        B"01111111" when B"0100011111",  -- INDEX 287
400
        B"01110100" when B"0100100000",  -- INDEX 288
401
        B"01010111" when B"0100100001",  -- INDEX 289
402
        B"00101100" when B"0100100010",  -- INDEX 290
403
        B"11111011" when B"0100100011",  -- INDEX 291
404
        B"11001011" when B"0100100100",  -- INDEX 292
405
        B"10100011" when B"0100100101",  -- INDEX 293
406
        B"10001001" when B"0100100110",  -- INDEX 294
407
        B"10000000" when B"0100100111",  -- INDEX 295
408
        B"10001011" when B"0100101000",  -- INDEX 296
409
        B"10100111" when B"0100101001",  -- INDEX 297
410
        B"11010000" when B"0100101010",  -- INDEX 298
411
        B"00000001" when B"0100101011",  -- INDEX 299
412
        B"00110001" when B"0100101100",  -- INDEX 300
413
        B"01011010" when B"0100101101",  -- INDEX 301
414
        B"01110110" when B"0100101110",  -- INDEX 302
415
        B"01111111" when B"0100101111",  -- INDEX 303
416
        B"01110111" when B"0100110000",  -- INDEX 304
417
        B"01011100" when B"0100110001",  -- INDEX 305
418
        B"00110100" when B"0100110010",  -- INDEX 306
419
        B"00000100" when B"0100110011",  -- INDEX 307
420
        B"11010011" when B"0100110100",  -- INDEX 308
421
        B"10101001" when B"0100110101",  -- INDEX 309
422
        B"10001100" when B"0100110110",  -- INDEX 310
423
        B"10000000" when B"0100110111",  -- INDEX 311
424
        B"10001000" when B"0100111000",  -- INDEX 312
425
        B"10100001" when B"0100111001",  -- INDEX 313
426
        B"11001001" when B"0100111010",  -- INDEX 314
427
        B"11111000" when B"0100111011",  -- INDEX 315
428
        B"00101001" when B"0100111100",  -- INDEX 316
429
        B"01010100" when B"0100111101",  -- INDEX 317
430
        B"01110011" when B"0100111110",  -- INDEX 318
431
        B"01111111" when B"0100111111",  -- INDEX 319
432
        B"01111010" when B"0101000000",  -- INDEX 320
433
        B"01100010" when B"0101000001",  -- INDEX 321
434
        B"00111011" when B"0101000010",  -- INDEX 322
435
        B"00001100" when B"0101000011",  -- INDEX 323
436
        B"11011010" when B"0101000100",  -- INDEX 324
437
        B"10101111" when B"0101000101",  -- INDEX 325
438
        B"10001111" when B"0101000110",  -- INDEX 326
439
        B"10000001" when B"0101000111",  -- INDEX 327
440
        B"10000101" when B"0101001000",  -- INDEX 328
441
        B"10011100" when B"0101001001",  -- INDEX 329
442
        B"11000001" when B"0101001010",  -- INDEX 330
443
        B"11110000" when B"0101001011",  -- INDEX 331
444
        B"00100010" when B"0101001100",  -- INDEX 332
445
        B"01001110" when B"0101001101",  -- INDEX 333
446
        B"01101111" when B"0101001110",  -- INDEX 334
447
        B"01111111" when B"0101001111",  -- INDEX 335
448
        B"01111100" when B"0101010000",  -- INDEX 336
449
        B"01100111" when B"0101010001",  -- INDEX 337
450
        B"01000010" when B"0101010010",  -- INDEX 338
451
        B"00010100" when B"0101010011",  -- INDEX 339
452
        B"11100010" when B"0101010100",  -- INDEX 340
453
        B"10110101" when B"0101010101",  -- INDEX 341
454
        B"10010011" when B"0101010110",  -- INDEX 342
455
        B"10000010" when B"0101010111",  -- INDEX 343
456
        B"10000011" when B"0101011000",  -- INDEX 344
457
        B"10010111" when B"0101011001",  -- INDEX 345
458
        B"10111011" when B"0101011010",  -- INDEX 346
459
        B"11101001" when B"0101011011",  -- INDEX 347
460
        B"00011010" when B"0101011100",  -- INDEX 348
461
        B"01001000" when B"0101011101",  -- INDEX 349
462
        B"01101010" when B"0101011110",  -- INDEX 350
463
        B"01111101" when B"0101011111",  -- INDEX 351
464
        B"01111110" when B"0101100000",  -- INDEX 352
465
        B"01101011" when B"0101100001",  -- INDEX 353
466
        B"01001001" when B"0101100010",  -- INDEX 354
467
        B"00011011" when B"0101100011",  -- INDEX 355
468
        B"11101010" when B"0101100100",  -- INDEX 356
469
        B"10111100" when B"0101100101",  -- INDEX 357
470
        B"10011000" when B"0101100110",  -- INDEX 358
471
        B"10000011" when B"0101100111",  -- INDEX 359
472
        B"10000010" when B"0101101000",  -- INDEX 360
473
        B"10010011" when B"0101101001",  -- INDEX 361
474
        B"10110100" when B"0101101010",  -- INDEX 362
475
        B"11100001" when B"0101101011",  -- INDEX 363
476
        B"00010010" when B"0101101100",  -- INDEX 364
477
        B"01000001" when B"0101101101",  -- INDEX 365
478
        B"01100110" when B"0101101110",  -- INDEX 366
479
        B"01111100" when B"0101101111",  -- INDEX 367
480
        B"01111111" when B"0101110000",  -- INDEX 368
481
        B"01101111" when B"0101110001",  -- INDEX 369
482
        B"01001111" when B"0101110010",  -- INDEX 370
483
        B"00100011" when B"0101110011",  -- INDEX 371
484
        B"11110010" when B"0101110100",  -- INDEX 372
485
        B"11000011" when B"0101110101",  -- INDEX 373
486
        B"10011101" when B"0101110110",  -- INDEX 374
487
        B"10000110" when B"0101110111",  -- INDEX 375
488
        B"10000001" when B"0101111000",  -- INDEX 376
489
        B"10001111" when B"0101111001",  -- INDEX 377
490
        B"10101110" when B"0101111010",  -- INDEX 378
491
        B"11011001" when B"0101111011",  -- INDEX 379
492
        B"00001010" when B"0101111100",  -- INDEX 380
493
        B"00111010" when B"0101111101",  -- INDEX 381
494
        B"01100001" when B"0101111110",  -- INDEX 382
495
        B"01111001" when B"0101111111",  -- INDEX 383
496
        B"01111111" when B"0110000000",  -- INDEX 384
497
        B"01110011" when B"0110000001",  -- INDEX 385
498
        B"01010101" when B"0110000010",  -- INDEX 386
499
        B"00101011" when B"0110000011",  -- INDEX 387
500
        B"11111010" when B"0110000100",  -- INDEX 388
501
        B"11001010" when B"0110000101",  -- INDEX 389
502
        B"10100010" when B"0110000110",  -- INDEX 390
503
        B"10001000" when B"0110000111",  -- INDEX 391
504
        B"10000000" when B"0110001000",  -- INDEX 392
505
        B"10001011" when B"0110001001",  -- INDEX 393
506
        B"10101000" when B"0110001010",  -- INDEX 394
507
        B"11010001" when B"0110001011",  -- INDEX 395
508
        B"00000010" when B"0110001100",  -- INDEX 396
509
        B"00110010" when B"0110001101",  -- INDEX 397
510
        B"01011011" when B"0110001110",  -- INDEX 398
511
        B"01110110" when B"0110001111",  -- INDEX 399
512
        B"01111111" when B"0110010000",  -- INDEX 400
513
        B"01110110" when B"0110010001",  -- INDEX 401
514
        B"01011010" when B"0110010010",  -- INDEX 402
515
        B"00110000" when B"0110010011",  -- INDEX 403
516
        B"11111110" when B"0110010100",  -- INDEX 404
517
        B"11001101" when B"0110010101",  -- INDEX 405
518
        B"10100011" when B"0110010110",  -- INDEX 406
519
        B"10001000" when B"0110010111",  -- INDEX 407
520
        B"10000000" when B"0110011000",  -- INDEX 408
521
        B"10001100" when B"0110011001",  -- INDEX 409
522
        B"10101001" when B"0110011010",  -- INDEX 410
523
        B"11010100" when B"0110011011",  -- INDEX 411
524
        B"00000110" when B"0110011100",  -- INDEX 412
525
        B"00110111" when B"0110011101",  -- INDEX 413
526
        B"01011111" when B"0110011110",  -- INDEX 414
527
        B"01111001" when B"0110011111",  -- INDEX 415
528
        B"01111111" when B"0110100000",  -- INDEX 416
529
        B"01110011" when B"0110100001",  -- INDEX 417
530
        B"01010100" when B"0110100010",  -- INDEX 418
531
        B"00101000" when B"0110100011",  -- INDEX 419
532
        B"11110110" when B"0110100100",  -- INDEX 420
533
        B"11000101" when B"0110100101",  -- INDEX 421
534
        B"10011110" when B"0110100110",  -- INDEX 422
535
        B"10000110" when B"0110100111",  -- INDEX 423
536
        B"10000001" when B"0110101000",  -- INDEX 424
537
        B"10001111" when B"0110101001",  -- INDEX 425
538
        B"10101111" when B"0110101010",  -- INDEX 426
539
        B"11011100" when B"0110101011",  -- INDEX 427
540
        B"00001110" when B"0110101100",  -- INDEX 428
541
        B"00111110" when B"0110101101",  -- INDEX 429
542
        B"01100101" when B"0110101110",  -- INDEX 430
543
        B"01111011" when B"0110101111",  -- INDEX 431
544
        B"01111111" when B"0110110000",  -- INDEX 432
545
        B"01101111" when B"0110110001",  -- INDEX 433
546
        B"01001110" when B"0110110010",  -- INDEX 434
547
        B"00100000" when B"0110110011",  -- INDEX 435
548
        B"11101110" when B"0110110100",  -- INDEX 436
549
        B"10111110" when B"0110110101",  -- INDEX 437
550
        B"10011001" when B"0110110110",  -- INDEX 438
551
        B"10000100" when B"0110110111",  -- INDEX 439
552
        B"10000010" when B"0110111000",  -- INDEX 440
553
        B"10010011" when B"0110111001",  -- INDEX 441
554
        B"10110110" when B"0110111010",  -- INDEX 442
555
        B"11100100" when B"0110111011",  -- INDEX 443
556
        B"00010110" when B"0110111100",  -- INDEX 444
557
        B"01000101" when B"0110111101",  -- INDEX 445
558
        B"01101001" when B"0110111110",  -- INDEX 446
559
        B"01111101" when B"0110111111",  -- INDEX 447
560
        B"01111110" when B"0111000000",  -- INDEX 448
561
        B"01101011" when B"0111000001",  -- INDEX 449
562
        B"01000111" when B"0111000010",  -- INDEX 450
563
        B"00011000" when B"0111000011",  -- INDEX 451
564
        B"11100110" when B"0111000100",  -- INDEX 452
565
        B"10111000" when B"0111000101",  -- INDEX 453
566
        B"10010100" when B"0111000110",  -- INDEX 454
567
        B"10000010" when B"0111000111",  -- INDEX 455
568
        B"10000011" when B"0111001000",  -- INDEX 456
569
        B"10011000" when B"0111001001",  -- INDEX 457
570
        B"10111100" when B"0111001010",  -- INDEX 458
571
        B"11101011" when B"0111001011",  -- INDEX 459
572
        B"00011110" when B"0111001100",  -- INDEX 460
573
        B"01001100" when B"0111001101",  -- INDEX 461
574
        B"01101110" when B"0111001110",  -- INDEX 462
575
        B"01111111" when B"0111001111",  -- INDEX 463
576
        B"01111100" when B"0111010000",  -- INDEX 464
577
        B"01100110" when B"0111010001",  -- INDEX 465
578
        B"01000000" when B"0111010010",  -- INDEX 466
579
        B"00010001" when B"0111010011",  -- INDEX 467
580
        B"11011110" when B"0111010100",  -- INDEX 468
581
        B"10110001" when B"0111010101",  -- INDEX 469
582
        B"10010000" when B"0111010110",  -- INDEX 470
583
        B"10000001" when B"0111010111",  -- INDEX 471
584
        B"10000101" when B"0111011000",  -- INDEX 472
585
        B"10011100" when B"0111011001",  -- INDEX 473
586
        B"11000011" when B"0111011010",  -- INDEX 474
587
        B"11110011" when B"0111011011",  -- INDEX 475
588
        B"00100110" when B"0111011100",  -- INDEX 476
589
        B"01010010" when B"0111011101",  -- INDEX 477
590
        B"01110010" when B"0111011110",  -- INDEX 478
591
        B"01111111" when B"0111011111",  -- INDEX 479
592
        B"01111010" when B"0111100000",  -- INDEX 480
593
        B"01100001" when B"0111100001",  -- INDEX 481
594
        B"00111001" when B"0111100010",  -- INDEX 482
595
        B"00001001" when B"0111100011",  -- INDEX 483
596
        B"11010111" when B"0111100100",  -- INDEX 484
597
        B"10101011" when B"0111100101",  -- INDEX 485
598
        B"10001101" when B"0111100110",  -- INDEX 486
599
        B"10000000" when B"0111100111",  -- INDEX 487
600
        B"10001000" when B"0111101000",  -- INDEX 488
601
        B"10100010" when B"0111101001",  -- INDEX 489
602
        B"11001010" when B"0111101010",  -- INDEX 490
603
        B"11111011" when B"0111101011",  -- INDEX 491
604
        B"00101101" when B"0111101100",  -- INDEX 492
605
        B"01011000" when B"0111101101",  -- INDEX 493
606
        B"01110101" when B"0111101110",  -- INDEX 494
607
        B"01111111" when B"0111101111",  -- INDEX 495
608
        B"01110111" when B"0111110000",  -- INDEX 496
609
        B"01011100" when B"0111110001",  -- INDEX 497
610
        B"00110010" when B"0111110010",  -- INDEX 498
611
        B"00000001" when B"0111110011",  -- INDEX 499
612
        B"11001111" when B"0111110100",  -- INDEX 500
613
        B"10100101" when B"0111110101",  -- INDEX 501
614
        B"10001001" when B"0111110110",  -- INDEX 502
615
        B"10000000" when B"0111110111",  -- INDEX 503
616
        B"10001011" when B"0111111000",  -- INDEX 504
617
        B"10100111" when B"0111111001",  -- INDEX 505
618
        B"11010010" when B"0111111010",  -- INDEX 506
619
        B"00000100" when B"0111111011",  -- INDEX 507
620
        B"00110101" when B"0111111100",  -- INDEX 508
621
        B"01011110" when B"0111111101",  -- INDEX 509
622
        B"01111000" when B"0111111110",  -- INDEX 510
623
        B"01111111" when B"0111111111",  -- INDEX 511
624
        B"01110100" when B"1000000000",  -- INDEX 512
625
        B"01010110" when B"1000000001",  -- INDEX 513
626
        B"00101010" when B"1000000010",  -- INDEX 514
627
        B"11111000" when B"1000000011",  -- INDEX 515
628
        B"11001000" when B"1000000100",  -- INDEX 516
629
        B"10100000" when B"1000000101",  -- INDEX 517
630
        B"10000111" when B"1000000110",  -- INDEX 518
631
        B"10000000" when B"1000000111",  -- INDEX 519
632
        B"10001110" when B"1000001000",  -- INDEX 520
633
        B"10101101" when B"1000001001",  -- INDEX 521
634
        B"11011001" when B"1000001010",  -- INDEX 522
635
        B"00001100" when B"1000001011",  -- INDEX 523
636
        B"00111100" when B"1000001100",  -- INDEX 524
637
        B"01100011" when B"1000001101",  -- INDEX 525
638
        B"01111011" when B"1000001110",  -- INDEX 526
639
        B"01111111" when B"1000001111",  -- INDEX 527
640
        B"01110000" when B"1000010000",  -- INDEX 528
641
        B"01010000" when B"1000010001",  -- INDEX 529
642
        B"00100011" when B"1000010010",  -- INDEX 530
643
        B"11110000" when B"1000010011",  -- INDEX 531
644
        B"11000001" when B"1000010100",  -- INDEX 532
645
        B"10011011" when B"1000010101",  -- INDEX 533
646
        B"10000100" when B"1000010110",  -- INDEX 534
647
        B"10000001" when B"1000010111",  -- INDEX 535
648
        B"10010010" when B"1000011000",  -- INDEX 536
649
        B"10110100" when B"1000011001",  -- INDEX 537
650
        B"11100001" when B"1000011010",  -- INDEX 538
651
        B"00010100" when B"1000011011",  -- INDEX 539
652
        B"01000011" when B"1000011100",  -- INDEX 540
653
        B"01101000" when B"1000011101",  -- INDEX 541
654
        B"01111101" when B"1000011110",  -- INDEX 542
655
        B"01111110" when B"1000011111",  -- INDEX 543
656
        B"01101100" when B"1000100000",  -- INDEX 544
657
        B"01001001" when B"1000100001",  -- INDEX 545
658
        B"00011011" when B"1000100010",  -- INDEX 546
659
        B"11101001" when B"1000100011",  -- INDEX 547
660
        B"10111010" when B"1000100100",  -- INDEX 548
661
        B"10010110" when B"1000100101",  -- INDEX 549
662
        B"10000010" when B"1000100110",  -- INDEX 550
663
        B"10000011" when B"1000100111",  -- INDEX 551
664
        B"10010110" when B"1000101000",  -- INDEX 552
665
        B"10111010" when B"1000101001",  -- INDEX 553
666
        B"11101001" when B"1000101010",  -- INDEX 554
667
        B"00011011" when B"1000101011",  -- INDEX 555
668
        B"01001010" when B"1000101100",  -- INDEX 556
669
        B"01101100" when B"1000101101",  -- INDEX 557
670
        B"01111110" when B"1000101110",  -- INDEX 558
671
        B"01111101" when B"1000101111",  -- INDEX 559
672
        B"01101000" when B"1000110000",  -- INDEX 560
673
        B"01000010" when B"1000110001",  -- INDEX 561
674
        B"00010011" when B"1000110010",  -- INDEX 562
675
        B"11100001" when B"1000110011",  -- INDEX 563
676
        B"10110011" when B"1000110100",  -- INDEX 564
677
        B"10010010" when B"1000110101",  -- INDEX 565
678
        B"10000001" when B"1000110110",  -- INDEX 566
679
        B"10000100" when B"1000110111",  -- INDEX 567
680
        B"10011011" when B"1000111000",  -- INDEX 568
681
        B"11000001" when B"1000111001",  -- INDEX 569
682
        B"11110001" when B"1000111010",  -- INDEX 570
683
        B"00100011" when B"1000111011",  -- INDEX 571
684
        B"01010000" when B"1000111100",  -- INDEX 572
685
        B"01110000" when B"1000111101",  -- INDEX 573
686
        B"01111111" when B"1000111110",  -- INDEX 574
687
        B"01111010" when B"1000111111",  -- INDEX 575
688
        B"01100011" when B"1001000000",  -- INDEX 576
689
        B"00111011" when B"1001000001",  -- INDEX 577
690
        B"00001011" when B"1001000010",  -- INDEX 578
691
        B"11011001" when B"1001000011",  -- INDEX 579
692
        B"10101101" when B"1001000100",  -- INDEX 580
693
        B"10001110" when B"1001000101",  -- INDEX 581
694
        B"10000000" when B"1001000110",  -- INDEX 582
695
        B"10000111" when B"1001000111",  -- INDEX 583
696
        B"10100000" when B"1001001000",  -- INDEX 584
697
        B"11001000" when B"1001001001",  -- INDEX 585
698
        B"11111001" when B"1001001010",  -- INDEX 586
699
        B"00101011" when B"1001001011",  -- INDEX 587
700
        B"01010110" when B"1001001100",  -- INDEX 588
701
        B"01110100" when B"1001001101",  -- INDEX 589
702
        B"01111111" when B"1001001110",  -- INDEX 590
703
        B"01111000" when B"1001001111",  -- INDEX 591
704
        B"01011101" when B"1001010000",  -- INDEX 592
705
        B"00110100" when B"1001010001",  -- INDEX 593
706
        B"00000011" when B"1001010010",  -- INDEX 594
707
        B"11010001" when B"1001010011",  -- INDEX 595
708
        B"10100111" when B"1001010100",  -- INDEX 596
709
        B"10001010" when B"1001010101",  -- INDEX 597
710
        B"10000000" when B"1001010110",  -- INDEX 598
711
        B"10001010" when B"1001010111",  -- INDEX 599
712
        B"10100101" when B"1001011000",  -- INDEX 600
713
        B"11001111" when B"1001011001",  -- INDEX 601
714
        B"11111111" when B"1001011010",  -- INDEX 602
715
        B"00110000" when B"1001011011",  -- INDEX 603
716
        B"01011001" when B"1001011100",  -- INDEX 604
717
        B"01110101" when B"1001011101",  -- INDEX 605
718
        B"01111111" when B"1001011110",  -- INDEX 606
719
        B"01111000" when B"1001011111",  -- INDEX 607
720
        B"01011101" when B"1001100000",  -- INDEX 608
721
        B"00110101" when B"1001100001",  -- INDEX 609
722
        B"00000101" when B"1001100010",  -- INDEX 610
723
        B"11010100" when B"1001100011",  -- INDEX 611
724
        B"10101010" when B"1001100100",  -- INDEX 612
725
        B"10001100" when B"1001100101",  -- INDEX 613
726
        B"10000000" when B"1001100110",  -- INDEX 614
727
        B"10000111" when B"1001100111",  -- INDEX 615
728
        B"10100000" when B"1001101000",  -- INDEX 616
729
        B"11000111" when B"1001101001",  -- INDEX 617
730
        B"11110111" when B"1001101010",  -- INDEX 618
731
        B"00101000" when B"1001101011",  -- INDEX 619
732
        B"01010011" when B"1001101100",  -- INDEX 620
733
        B"01110010" when B"1001101101",  -- INDEX 621
734
        B"01111111" when B"1001101110",  -- INDEX 622
735
        B"01111010" when B"1001101111",  -- INDEX 623
736
        B"01100011" when B"1001110000",  -- INDEX 624
737
        B"00111100" when B"1001110001",  -- INDEX 625
738
        B"00001101" when B"1001110010",  -- INDEX 626
739
        B"11011100" when B"1001110011",  -- INDEX 627
740
        B"10110000" when B"1001110100",  -- INDEX 628
741
        B"10010000" when B"1001110101",  -- INDEX 629
742
        B"10000001" when B"1001110110",  -- INDEX 630
743
        B"10000101" when B"1001110111",  -- INDEX 631
744
        B"10011011" when B"1001111000",  -- INDEX 632
745
        B"11000000" when B"1001111001",  -- INDEX 633
746
        B"11101111" when B"1001111010",  -- INDEX 634
747
        B"00100000" when B"1001111011",  -- INDEX 635
748
        B"01001101" when B"1001111100",  -- INDEX 636
749
        B"01101110" when B"1001111101",  -- INDEX 637
750
        B"01111111" when B"1001111110",  -- INDEX 638
751
        B"01111100" when B"1001111111",  -- INDEX 639
752
        B"01101000" when B"1010000000",  -- INDEX 640
753
        B"01000011" when B"1010000001",  -- INDEX 641
754
        B"00010101" when B"1010000010",  -- INDEX 642
755
        B"11100100" when B"1010000011",  -- INDEX 643
756
        B"10110110" when B"1010000100",  -- INDEX 644
757
        B"10010100" when B"1010000101",  -- INDEX 645
758
        B"10000010" when B"1010000110",  -- INDEX 646
759
        B"10000011" when B"1010000111",  -- INDEX 647
760
        B"10010110" when B"1010001000",  -- INDEX 648
761
        B"10111001" when B"1010001001",  -- INDEX 649
762
        B"11100111" when B"1010001010",  -- INDEX 650
763
        B"00011000" when B"1010001011",  -- INDEX 651
764
        B"01000110" when B"1010001100",  -- INDEX 652
765
        B"01101010" when B"1010001101",  -- INDEX 653
766
        B"01111101" when B"1010001110",  -- INDEX 654
767
        B"01111110" when B"1010001111",  -- INDEX 655
768
        B"01101100" when B"1010010000",  -- INDEX 656
769
        B"01001010" when B"1010010001",  -- INDEX 657
770
        B"00011101" when B"1010010010",  -- INDEX 658
771
        B"11101011" when B"1010010011",  -- INDEX 659
772
        B"10111101" when B"1010010100",  -- INDEX 660
773
        B"10011001" when B"1010010101",  -- INDEX 661
774
        B"10000100" when B"1010010110",  -- INDEX 662
775
        B"10000001" when B"1010010111",  -- INDEX 663
776
        B"10010010" when B"1010011000",  -- INDEX 664
777
        B"10110011" when B"1010011001",  -- INDEX 665
778
        B"11011111" when B"1010011010",  -- INDEX 666
779
        B"00010001" when B"1010011011",  -- INDEX 667
780
        B"00111111" when B"1010011100",  -- INDEX 668
781
        B"01100101" when B"1010011101",  -- INDEX 669
782
        B"01111011" when B"1010011110",  -- INDEX 670
783
        B"01111111" when B"1010011111",  -- INDEX 671
784
        B"01110000" when B"1010100000",  -- INDEX 672
785
        B"01010000" when B"1010100001",  -- INDEX 673
786
        B"00100101" when B"1010100010",  -- INDEX 674
787
        B"11110011" when B"1010100011",  -- INDEX 675
788
        B"11000100" when B"1010100100",  -- INDEX 676
789
        B"10011110" when B"1010100101",  -- INDEX 677
790
        B"10000110" when B"1010100110",  -- INDEX 678
791
        B"10000000" when B"1010100111",  -- INDEX 679
792
        B"10001110" when B"1010101000",  -- INDEX 680
793
        B"10101100" when B"1010101001",  -- INDEX 681
794
        B"11010111" when B"1010101010",  -- INDEX 682
795
        B"00001001" when B"1010101011",  -- INDEX 683
796
        B"00111000" when B"1010101100",  -- INDEX 684
797
        B"01100000" when B"1010101101",  -- INDEX 685
798
        B"01111001" when B"1010101110",  -- INDEX 686
799
        B"01111111" when B"1010101111",  -- INDEX 687
800
        B"01110100" when B"1010110000",  -- INDEX 688
801
        B"01010111" when B"1010110001",  -- INDEX 689
802
        B"00101100" when B"1010110010",  -- INDEX 690
803
        B"11111011" when B"1010110011",  -- INDEX 691
804
        B"11001011" when B"1010110100",  -- INDEX 692
805
        B"10100011" when B"1010110101",  -- INDEX 693
806
        B"10001001" when B"1010110110",  -- INDEX 694
807
        B"10000000" when B"1010110111",  -- INDEX 695
808
        B"10001011" when B"1010111000",  -- INDEX 696
809
        B"10100111" when B"1010111001",  -- INDEX 697
810
        B"11010000" when B"1010111010",  -- INDEX 698
811
        B"00000001" when B"1010111011",  -- INDEX 699
812
        B"00110001" when B"1010111100",  -- INDEX 700
813
        B"01011010" when B"1010111101",  -- INDEX 701
814
        B"01110110" when B"1010111110",  -- INDEX 702
815
        B"01111111" when B"1010111111",  -- INDEX 703
816
        B"01110111" when B"1011000000",  -- INDEX 704
817
        B"01011100" when B"1011000001",  -- INDEX 705
818
        B"00110100" when B"1011000010",  -- INDEX 706
819
        B"00000100" when B"1011000011",  -- INDEX 707
820
        B"11010011" when B"1011000100",  -- INDEX 708
821
        B"10101001" when B"1011000101",  -- INDEX 709
822
        B"10001100" when B"1011000110",  -- INDEX 710
823
        B"10000000" when B"1011000111",  -- INDEX 711
824
        B"10001000" when B"1011001000",  -- INDEX 712
825
        B"10100001" when B"1011001001",  -- INDEX 713
826
        B"11001001" when B"1011001010",  -- INDEX 714
827
        B"11111000" when B"1011001011",  -- INDEX 715
828
        B"00101001" when B"1011001100",  -- INDEX 716
829
        B"01010100" when B"1011001101",  -- INDEX 717
830
        B"01110011" when B"1011001110",  -- INDEX 718
831
        B"01111111" when B"1011001111",  -- INDEX 719
832
        B"01111010" when B"1011010000",  -- INDEX 720
833
        B"01100010" when B"1011010001",  -- INDEX 721
834
        B"00111011" when B"1011010010",  -- INDEX 722
835
        B"00001100" when B"1011010011",  -- INDEX 723
836
        B"11011010" when B"1011010100",  -- INDEX 724
837
        B"10101111" when B"1011010101",  -- INDEX 725
838
        B"10001111" when B"1011010110",  -- INDEX 726
839
        B"10000001" when B"1011010111",  -- INDEX 727
840
        B"10000101" when B"1011011000",  -- INDEX 728
841
        B"10011100" when B"1011011001",  -- INDEX 729
842
        B"11000001" when B"1011011010",  -- INDEX 730
843
        B"11110000" when B"1011011011",  -- INDEX 731
844
        B"00100010" when B"1011011100",  -- INDEX 732
845
        B"01001110" when B"1011011101",  -- INDEX 733
846
        B"01101111" when B"1011011110",  -- INDEX 734
847
        B"01111111" when B"1011011111",  -- INDEX 735
848
        B"01111100" when B"1011100000",  -- INDEX 736
849
        B"01100111" when B"1011100001",  -- INDEX 737
850
        B"01000010" when B"1011100010",  -- INDEX 738
851
        B"00010100" when B"1011100011",  -- INDEX 739
852
        B"11100010" when B"1011100100",  -- INDEX 740
853
        B"10110101" when B"1011100101",  -- INDEX 741
854
        B"10010011" when B"1011100110",  -- INDEX 742
855
        B"10000010" when B"1011100111",  -- INDEX 743
856
        B"10000011" when B"1011101000",  -- INDEX 744
857
        B"10010111" when B"1011101001",  -- INDEX 745
858
        B"10111011" when B"1011101010",  -- INDEX 746
859
        B"11101001" when B"1011101011",  -- INDEX 747
860
        B"00011010" when B"1011101100",  -- INDEX 748
861
        B"01001000" when B"1011101101",  -- INDEX 749
862
        B"01101010" when B"1011101110",  -- INDEX 750
863
        B"01111101" when B"1011101111",  -- INDEX 751
864
        B"01111110" when B"1011110000",  -- INDEX 752
865
        B"01101011" when B"1011110001",  -- INDEX 753
866
        B"01001001" when B"1011110010",  -- INDEX 754
867
        B"00011011" when B"1011110011",  -- INDEX 755
868
        B"11101010" when B"1011110100",  -- INDEX 756
869
        B"10111100" when B"1011110101",  -- INDEX 757
870
        B"10011000" when B"1011110110",  -- INDEX 758
871
        B"10000011" when B"1011110111",  -- INDEX 759
872
        B"10000010" when B"1011111000",  -- INDEX 760
873
        B"10010011" when B"1011111001",  -- INDEX 761
874
        B"10110100" when B"1011111010",  -- INDEX 762
875
        B"11100001" when B"1011111011",  -- INDEX 763
876
        B"00010010" when B"1011111100",  -- INDEX 764
877
        B"01000001" when B"1011111101",  -- INDEX 765
878
        B"01100110" when B"1011111110",  -- INDEX 766
879
        B"01111100" when B"1011111111",  -- INDEX 767
880
        B"01111111" when B"1100000000",  -- INDEX 768
881
        B"01101111" when B"1100000001",  -- INDEX 769
882
        B"01001111" when B"1100000010",  -- INDEX 770
883
        B"00100011" when B"1100000011",  -- INDEX 771
884
        B"11110010" when B"1100000100",  -- INDEX 772
885
        B"11000011" when B"1100000101",  -- INDEX 773
886
        B"10011101" when B"1100000110",  -- INDEX 774
887
        B"10000110" when B"1100000111",  -- INDEX 775
888
        B"10000001" when B"1100001000",  -- INDEX 776
889
        B"10001111" when B"1100001001",  -- INDEX 777
890
        B"10101110" when B"1100001010",  -- INDEX 778
891
        B"11011001" when B"1100001011",  -- INDEX 779
892
        B"00001010" when B"1100001100",  -- INDEX 780
893
        B"00111010" when B"1100001101",  -- INDEX 781
894
        B"01100001" when B"1100001110",  -- INDEX 782
895
        B"01111001" when B"1100001111",  -- INDEX 783
896
        B"01111111" when B"1100010000",  -- INDEX 784
897
        B"01110011" when B"1100010001",  -- INDEX 785
898
        B"01010101" when B"1100010010",  -- INDEX 786
899
        B"00101011" when B"1100010011",  -- INDEX 787
900
        B"11111010" when B"1100010100",  -- INDEX 788
901
        B"11001010" when B"1100010101",  -- INDEX 789
902
        B"10100010" when B"1100010110",  -- INDEX 790
903
        B"10001000" when B"1100010111",  -- INDEX 791
904
        B"10000000" when B"1100011000",  -- INDEX 792
905
        B"10001011" when B"1100011001",  -- INDEX 793
906
        B"10101000" when B"1100011010",  -- INDEX 794
907
        B"11010001" when B"1100011011",  -- INDEX 795
908
        B"00000010" when B"1100011100",  -- INDEX 796
909
        B"00110010" when B"1100011101",  -- INDEX 797
910
        B"01011011" when B"1100011110",  -- INDEX 798
911
        B"01110110" when B"1100011111",  -- INDEX 799
912
        B"01111111" when B"1100100000",  -- INDEX 800
913
        B"01110110" when B"1100100001",  -- INDEX 801
914
        B"01011010" when B"1100100010",  -- INDEX 802
915
        B"00110000" when B"1100100011",  -- INDEX 803
916
        B"11111110" when B"1100100100",  -- INDEX 804
917
        B"11001101" when B"1100100101",  -- INDEX 805
918
        B"10100011" when B"1100100110",  -- INDEX 806
919
        B"10001000" when B"1100100111",  -- INDEX 807
920
        B"10000000" when B"1100101000",  -- INDEX 808
921
        B"10001100" when B"1100101001",  -- INDEX 809
922
        B"10101001" when B"1100101010",  -- INDEX 810
923
        B"11010100" when B"1100101011",  -- INDEX 811
924
        B"00000110" when B"1100101100",  -- INDEX 812
925
        B"00110111" when B"1100101101",  -- INDEX 813
926
        B"01011111" when B"1100101110",  -- INDEX 814
927
        B"01111001" when B"1100101111",  -- INDEX 815
928
        B"01111111" when B"1100110000",  -- INDEX 816
929
        B"01110011" when B"1100110001",  -- INDEX 817
930
        B"01010100" when B"1100110010",  -- INDEX 818
931
        B"00101000" when B"1100110011",  -- INDEX 819
932
        B"11110110" when B"1100110100",  -- INDEX 820
933
        B"11000101" when B"1100110101",  -- INDEX 821
934
        B"10011110" when B"1100110110",  -- INDEX 822
935
        B"10000110" when B"1100110111",  -- INDEX 823
936
        B"10000001" when B"1100111000",  -- INDEX 824
937
        B"10001111" when B"1100111001",  -- INDEX 825
938
        B"10101111" when B"1100111010",  -- INDEX 826
939
        B"11011100" when B"1100111011",  -- INDEX 827
940
        B"00001110" when B"1100111100",  -- INDEX 828
941
        B"00111110" when B"1100111101",  -- INDEX 829
942
        B"01100101" when B"1100111110",  -- INDEX 830
943
        B"01111011" when B"1100111111",  -- INDEX 831
944
        B"01111111" when B"1101000000",  -- INDEX 832
945
        B"01101111" when B"1101000001",  -- INDEX 833
946
        B"01001110" when B"1101000010",  -- INDEX 834
947
        B"00100000" when B"1101000011",  -- INDEX 835
948
        B"11101110" when B"1101000100",  -- INDEX 836
949
        B"10111110" when B"1101000101",  -- INDEX 837
950
        B"10011001" when B"1101000110",  -- INDEX 838
951
        B"10000100" when B"1101000111",  -- INDEX 839
952
        B"10000010" when B"1101001000",  -- INDEX 840
953
        B"10010011" when B"1101001001",  -- INDEX 841
954
        B"10110110" when B"1101001010",  -- INDEX 842
955
        B"11100100" when B"1101001011",  -- INDEX 843
956
        B"00010110" when B"1101001100",  -- INDEX 844
957
        B"01000101" when B"1101001101",  -- INDEX 845
958
        B"01101001" when B"1101001110",  -- INDEX 846
959
        B"01111101" when B"1101001111",  -- INDEX 847
960
        B"01111110" when B"1101010000",  -- INDEX 848
961
        B"01101011" when B"1101010001",  -- INDEX 849
962
        B"01000111" when B"1101010010",  -- INDEX 850
963
        B"00011000" when B"1101010011",  -- INDEX 851
964
        B"11100110" when B"1101010100",  -- INDEX 852
965
        B"10111000" when B"1101010101",  -- INDEX 853
966
        B"10010100" when B"1101010110",  -- INDEX 854
967
        B"10000010" when B"1101010111",  -- INDEX 855
968
        B"10000011" when B"1101011000",  -- INDEX 856
969
        B"10011000" when B"1101011001",  -- INDEX 857
970
        B"10111100" when B"1101011010",  -- INDEX 858
971
        B"11101011" when B"1101011011",  -- INDEX 859
972
        B"00011110" when B"1101011100",  -- INDEX 860
973
        B"01001100" when B"1101011101",  -- INDEX 861
974
        B"01101110" when B"1101011110",  -- INDEX 862
975
        B"01111111" when B"1101011111",  -- INDEX 863
976
        B"01111100" when B"1101100000",  -- INDEX 864
977
        B"01100110" when B"1101100001",  -- INDEX 865
978
        B"01000000" when B"1101100010",  -- INDEX 866
979
        B"00010001" when B"1101100011",  -- INDEX 867
980
        B"11011110" when B"1101100100",  -- INDEX 868
981
        B"10110001" when B"1101100101",  -- INDEX 869
982
        B"10010000" when B"1101100110",  -- INDEX 870
983
        B"10000001" when B"1101100111",  -- INDEX 871
984
        B"10000101" when B"1101101000",  -- INDEX 872
985
        B"10011100" when B"1101101001",  -- INDEX 873
986
        B"11000011" when B"1101101010",  -- INDEX 874
987
        B"11110011" when B"1101101011",  -- INDEX 875
988
        B"00100110" when B"1101101100",  -- INDEX 876
989
        B"01010010" when B"1101101101",  -- INDEX 877
990
        B"01110010" when B"1101101110",  -- INDEX 878
991
        B"01111111" when B"1101101111",  -- INDEX 879
992
        B"01111010" when B"1101110000",  -- INDEX 880
993
        B"01100001" when B"1101110001",  -- INDEX 881
994
        B"00111001" when B"1101110010",  -- INDEX 882
995
        B"00001001" when B"1101110011",  -- INDEX 883
996
        B"11010111" when B"1101110100",  -- INDEX 884
997
        B"10101011" when B"1101110101",  -- INDEX 885
998
        B"10001101" when B"1101110110",  -- INDEX 886
999
        B"10000000" when B"1101110111",  -- INDEX 887
1000
        B"10001000" when B"1101111000",  -- INDEX 888
1001
        B"10100010" when B"1101111001",  -- INDEX 889
1002
        B"11001010" when B"1101111010",  -- INDEX 890
1003
        B"11111011" when B"1101111011",  -- INDEX 891
1004
        B"00101101" when B"1101111100",  -- INDEX 892
1005
        B"01011000" when B"1101111101",  -- INDEX 893
1006
        B"01110101" when B"1101111110",  -- INDEX 894
1007
        B"01111111" when B"1101111111",  -- INDEX 895
1008
        B"01110111" when B"1110000000",  -- INDEX 896
1009
        B"01011100" when B"1110000001",  -- INDEX 897
1010
        B"00110010" when B"1110000010",  -- INDEX 898
1011
        B"00000001" when B"1110000011",  -- INDEX 899
1012
        B"11001111" when B"1110000100",  -- INDEX 900
1013
        B"10100101" when B"1110000101",  -- INDEX 901
1014
        B"10001001" when B"1110000110",  -- INDEX 902
1015
        B"10000000" when B"1110000111",  -- INDEX 903
1016
        B"10001011" when B"1110001000",  -- INDEX 904
1017
        B"10100111" when B"1110001001",  -- INDEX 905
1018
        B"11010010" when B"1110001010",  -- INDEX 906
1019
        B"00000100" when B"1110001011",  -- INDEX 907
1020
        B"00110101" when B"1110001100",  -- INDEX 908
1021
        B"01011110" when B"1110001101",  -- INDEX 909
1022
        B"01111000" when B"1110001110",  -- INDEX 910
1023
        B"01111111" when B"1110001111",  -- INDEX 911
1024
        B"01110100" when B"1110010000",  -- INDEX 912
1025
        B"01010110" when B"1110010001",  -- INDEX 913
1026
        B"00101010" when B"1110010010",  -- INDEX 914
1027
        B"11111000" when B"1110010011",  -- INDEX 915
1028
        B"11001000" when B"1110010100",  -- INDEX 916
1029
        B"10100000" when B"1110010101",  -- INDEX 917
1030
        B"10000111" when B"1110010110",  -- INDEX 918
1031
        B"10000000" when B"1110010111",  -- INDEX 919
1032
        B"10001110" when B"1110011000",  -- INDEX 920
1033
        B"10101101" when B"1110011001",  -- INDEX 921
1034
        B"11011001" when B"1110011010",  -- INDEX 922
1035
        B"00001100" when B"1110011011",  -- INDEX 923
1036
        B"00111100" when B"1110011100",  -- INDEX 924
1037
        B"01100011" when B"1110011101",  -- INDEX 925
1038
        B"01111011" when B"1110011110",  -- INDEX 926
1039
        B"01111111" when B"1110011111",  -- INDEX 927
1040
        B"01110000" when B"1110100000",  -- INDEX 928
1041
        B"01010000" when B"1110100001",  -- INDEX 929
1042
        B"00100011" when B"1110100010",  -- INDEX 930
1043
        B"11110000" when B"1110100011",  -- INDEX 931
1044
        B"11000001" when B"1110100100",  -- INDEX 932
1045
        B"10011011" when B"1110100101",  -- INDEX 933
1046
        B"10000100" when B"1110100110",  -- INDEX 934
1047
        B"10000001" when B"1110100111",  -- INDEX 935
1048
        B"10010010" when B"1110101000",  -- INDEX 936
1049
        B"10110100" when B"1110101001",  -- INDEX 937
1050
        B"11100001" when B"1110101010",  -- INDEX 938
1051
        B"00010100" when B"1110101011",  -- INDEX 939
1052
        B"01000011" when B"1110101100",  -- INDEX 940
1053
        B"01101000" when B"1110101101",  -- INDEX 941
1054
        B"01111101" when B"1110101110",  -- INDEX 942
1055
        B"01111110" when B"1110101111",  -- INDEX 943
1056
        B"01101100" when B"1110110000",  -- INDEX 944
1057
        B"01001001" when B"1110110001",  -- INDEX 945
1058
        B"00011011" when B"1110110010",  -- INDEX 946
1059
        B"11101001" when B"1110110011",  -- INDEX 947
1060
        B"10111010" when B"1110110100",  -- INDEX 948
1061
        B"10010110" when B"1110110101",  -- INDEX 949
1062
        B"10000010" when B"1110110110",  -- INDEX 950
1063
        B"10000011" when B"1110110111",  -- INDEX 951
1064
        B"10010110" when B"1110111000",  -- INDEX 952
1065
        B"10111010" when B"1110111001",  -- INDEX 953
1066
        B"11101001" when B"1110111010",  -- INDEX 954
1067
        B"00011011" when B"1110111011",  -- INDEX 955
1068
        B"01001010" when B"1110111100",  -- INDEX 956
1069
        B"01101100" when B"1110111101",  -- INDEX 957
1070
        B"01111110" when B"1110111110",  -- INDEX 958
1071
        B"01111101" when B"1110111111",  -- INDEX 959
1072
        B"01101000" when B"1111000000",  -- INDEX 960
1073
        B"01000010" when B"1111000001",  -- INDEX 961
1074
        B"00010011" when B"1111000010",  -- INDEX 962
1075
        B"11100001" when B"1111000011",  -- INDEX 963
1076
        B"10110011" when B"1111000100",  -- INDEX 964
1077
        B"10010010" when B"1111000101",  -- INDEX 965
1078
        B"10000001" when B"1111000110",  -- INDEX 966
1079
        B"10000100" when B"1111000111",  -- INDEX 967
1080
        B"10011011" when B"1111001000",  -- INDEX 968
1081
        B"11000001" when B"1111001001",  -- INDEX 969
1082
        B"11110001" when B"1111001010",  -- INDEX 970
1083
        B"00100011" when B"1111001011",  -- INDEX 971
1084
        B"01010000" when B"1111001100",  -- INDEX 972
1085
        B"01110000" when B"1111001101",  -- INDEX 973
1086
        B"01111111" when B"1111001110",  -- INDEX 974
1087
        B"01111010" when B"1111001111",  -- INDEX 975
1088
        B"01100011" when B"1111010000",  -- INDEX 976
1089
        B"00111011" when B"1111010001",  -- INDEX 977
1090
        B"00001011" when B"1111010010",  -- INDEX 978
1091
        B"11011001" when B"1111010011",  -- INDEX 979
1092
        B"10101101" when B"1111010100",  -- INDEX 980
1093
        B"10001110" when B"1111010101",  -- INDEX 981
1094
        B"10000000" when B"1111010110",  -- INDEX 982
1095
        B"10000111" when B"1111010111",  -- INDEX 983
1096
        B"10100000" when B"1111011000",  -- INDEX 984
1097
        B"11001000" when B"1111011001",  -- INDEX 985
1098
        B"11111001" when B"1111011010",  -- INDEX 986
1099
        B"00101011" when B"1111011011",  -- INDEX 987
1100
        B"01010110" when B"1111011100",  -- INDEX 988
1101
        B"01110100" when B"1111011101",  -- INDEX 989
1102
        B"01111111" when B"1111011110",  -- INDEX 990
1103
        B"01111000" when B"1111011111",  -- INDEX 991
1104
        B"01011101" when B"1111100000",  -- INDEX 992
1105
        B"00110100" when B"1111100001",  -- INDEX 993
1106
        B"00000011" when B"1111100010",  -- INDEX 994
1107
        B"11010001" when B"1111100011",  -- INDEX 995
1108
        B"10100111" when B"1111100100",  -- INDEX 996
1109
        B"10001010" when B"1111100101",  -- INDEX 997
1110
        B"10000000" when B"1111100110",  -- INDEX 998
1111
        B"10001010" when B"1111100111",  -- INDEX 999
1112
 
1113
-- END INPUT FM SIGNAL
1114
        B"00000000" when others;
1115
 
1116
        with counter (09 downto 0) select
1117
        test_signal_fmTri_int <=
1118
-- START INPUT FM-TRI SIGNAL
1119
 
1120
        B"01111111" when B"0000000000",  -- INDEX 0
1121
        B"01110110" when B"0000000001",  -- INDEX 1
1122
        B"01011011" when B"0000000010",  -- INDEX 2
1123
        B"00110010" when B"0000000011",  -- INDEX 3
1124
        B"00000010" when B"0000000100",  -- INDEX 4
1125
        B"11010001" when B"0000000101",  -- INDEX 5
1126
        B"10101000" when B"0000000110",  -- INDEX 6
1127
        B"10001011" when B"0000000111",  -- INDEX 7
1128
        B"10000000" when B"0000001000",  -- INDEX 8
1129
        B"10001000" when B"0000001001",  -- INDEX 9
1130
        B"10100010" when B"0000001010",  -- INDEX 10
1131
        B"11001010" when B"0000001011",  -- INDEX 11
1132
        B"11111010" when B"0000001100",  -- INDEX 12
1133
        B"00101011" when B"0000001101",  -- INDEX 13
1134
        B"01010110" when B"0000001110",  -- INDEX 14
1135
        B"01110011" when B"0000001111",  -- INDEX 15
1136
        B"01111111" when B"0000010000",  -- INDEX 16
1137
        B"01111001" when B"0000010001",  -- INDEX 17
1138
        B"01100000" when B"0000010010",  -- INDEX 18
1139
        B"00111001" when B"0000010011",  -- INDEX 19
1140
        B"00001001" when B"0000010100",  -- INDEX 20
1141
        B"11011000" when B"0000010101",  -- INDEX 21
1142
        B"10101101" when B"0000010110",  -- INDEX 22
1143
        B"10001110" when B"0000010111",  -- INDEX 23
1144
        B"10000000" when B"0000011000",  -- INDEX 24
1145
        B"10000110" when B"0000011001",  -- INDEX 25
1146
        B"10011110" when B"0000011010",  -- INDEX 26
1147
        B"11000100" when B"0000011011",  -- INDEX 27
1148
        B"11110100" when B"0000011100",  -- INDEX 28
1149
        B"00100101" when B"0000011101",  -- INDEX 29
1150
        B"01010001" when B"0000011110",  -- INDEX 30
1151
        B"01110001" when B"0000011111",  -- INDEX 31
1152
        B"01111111" when B"0000100000",  -- INDEX 32
1153
        B"01111011" when B"0000100001",  -- INDEX 33
1154
        B"01100100" when B"0000100010",  -- INDEX 34
1155
        B"00111110" when B"0000100011",  -- INDEX 35
1156
        B"00001111" when B"0000100100",  -- INDEX 36
1157
        B"11011101" when B"0000100101",  -- INDEX 37
1158
        B"10110001" when B"0000100110",  -- INDEX 38
1159
        B"10010001" when B"0000100111",  -- INDEX 39
1160
        B"10000001" when B"0000101000",  -- INDEX 40
1161
        B"10000100" when B"0000101001",  -- INDEX 41
1162
        B"10011010" when B"0000101010",  -- INDEX 42
1163
        B"11000000" when B"0000101011",  -- INDEX 43
1164
        B"11101111" when B"0000101100",  -- INDEX 44
1165
        B"00100000" when B"0000101101",  -- INDEX 45
1166
        B"01001101" when B"0000101110",  -- INDEX 46
1167
        B"01101110" when B"0000101111",  -- INDEX 47
1168
        B"01111111" when B"0000110000",  -- INDEX 48
1169
        B"01111100" when B"0000110001",  -- INDEX 49
1170
        B"01100111" when B"0000110010",  -- INDEX 50
1171
        B"01000010" when B"0000110011",  -- INDEX 51
1172
        B"00010011" when B"0000110100",  -- INDEX 52
1173
        B"11100010" when B"0000110101",  -- INDEX 53
1174
        B"10110101" when B"0000110110",  -- INDEX 54
1175
        B"10010011" when B"0000110111",  -- INDEX 55
1176
        B"10000010" when B"0000111000",  -- INDEX 56
1177
        B"10000011" when B"0000111001",  -- INDEX 57
1178
        B"10011000" when B"0000111010",  -- INDEX 58
1179
        B"10111100" when B"0000111011",  -- INDEX 59
1180
        B"11101011" when B"0000111100",  -- INDEX 60
1181
        B"00011101" when B"0000111101",  -- INDEX 61
1182
        B"01001010" when B"0000111110",  -- INDEX 62
1183
        B"01101100" when B"0000111111",  -- INDEX 63
1184
        B"01111110" when B"0001000000",  -- INDEX 64
1185
        B"01111101" when B"0001000001",  -- INDEX 65
1186
        B"01101001" when B"0001000010",  -- INDEX 66
1187
        B"01000101" when B"0001000011",  -- INDEX 67
1188
        B"00010111" when B"0001000100",  -- INDEX 68
1189
        B"11100101" when B"0001000101",  -- INDEX 69
1190
        B"10110111" when B"0001000110",  -- INDEX 70
1191
        B"10010101" when B"0001000111",  -- INDEX 71
1192
        B"10000010" when B"0001001000",  -- INDEX 72
1193
        B"10000011" when B"0001001001",  -- INDEX 73
1194
        B"10010110" when B"0001001010",  -- INDEX 74
1195
        B"10111010" when B"0001001011",  -- INDEX 75
1196
        B"11101000" when B"0001001100",  -- INDEX 76
1197
        B"00011010" when B"0001001101",  -- INDEX 77
1198
        B"01001000" when B"0001001110",  -- INDEX 78
1199
        B"01101011" when B"0001001111",  -- INDEX 79
1200
        B"01111110" when B"0001010000",  -- INDEX 80
1201
        B"01111101" when B"0001010001",  -- INDEX 81
1202
        B"01101010" when B"0001010010",  -- INDEX 82
1203
        B"01000111" when B"0001010011",  -- INDEX 83
1204
        B"00011001" when B"0001010100",  -- INDEX 84
1205
        B"11100111" when B"0001010101",  -- INDEX 85
1206
        B"10111001" when B"0001010110",  -- INDEX 86
1207
        B"10010101" when B"0001010111",  -- INDEX 87
1208
        B"10000010" when B"0001011000",  -- INDEX 88
1209
        B"10000010" when B"0001011001",  -- INDEX 89
1210
        B"10010110" when B"0001011010",  -- INDEX 90
1211
        B"10111001" when B"0001011011",  -- INDEX 91
1212
        B"11100111" when B"0001011100",  -- INDEX 92
1213
        B"00011001" when B"0001011101",  -- INDEX 93
1214
        B"01000111" when B"0001011110",  -- INDEX 94
1215
        B"01101010" when B"0001011111",  -- INDEX 95
1216
        B"01111110" when B"0001100000",  -- INDEX 96
1217
        B"01111110" when B"0001100001",  -- INDEX 97
1218
        B"01101011" when B"0001100010",  -- INDEX 98
1219
        B"01000111" when B"0001100011",  -- INDEX 99
1220
        B"00011001" when B"0001100100",  -- INDEX 100
1221
        B"11100111" when B"0001100101",  -- INDEX 101
1222
        B"10111001" when B"0001100110",  -- INDEX 102
1223
        B"10010110" when B"0001100111",  -- INDEX 103
1224
        B"10000011" when B"0001101000",  -- INDEX 104
1225
        B"10000010" when B"0001101001",  -- INDEX 105
1226
        B"10010101" when B"0001101010",  -- INDEX 106
1227
        B"10111001" when B"0001101011",  -- INDEX 107
1228
        B"11100111" when B"0001101100",  -- INDEX 108
1229
        B"00011001" when B"0001101101",  -- INDEX 109
1230
        B"01000111" when B"0001101110",  -- INDEX 110
1231
        B"01101010" when B"0001101111",  -- INDEX 111
1232
        B"01111110" when B"0001110000",  -- INDEX 112
1233
        B"01111110" when B"0001110001",  -- INDEX 113
1234
        B"01101010" when B"0001110010",  -- INDEX 114
1235
        B"01000111" when B"0001110011",  -- INDEX 115
1236
        B"00011001" when B"0001110100",  -- INDEX 116
1237
        B"11100111" when B"0001110101",  -- INDEX 117
1238
        B"10111000" when B"0001110110",  -- INDEX 118
1239
        B"10010101" when B"0001110111",  -- INDEX 119
1240
        B"10000010" when B"0001111000",  -- INDEX 120
1241
        B"10000011" when B"0001111001",  -- INDEX 121
1242
        B"10010110" when B"0001111010",  -- INDEX 122
1243
        B"10111010" when B"0001111011",  -- INDEX 123
1244
        B"11101000" when B"0001111100",  -- INDEX 124
1245
        B"00011010" when B"0001111101",  -- INDEX 125
1246
        B"01001000" when B"0001111110",  -- INDEX 126
1247
        B"01101011" when B"0001111111",  -- INDEX 127
1248
        B"01111110" when B"0010000000",  -- INDEX 128
1249
        B"01111101" when B"0010000001",  -- INDEX 129
1250
        B"01101001" when B"0010000010",  -- INDEX 130
1251
        B"01000101" when B"0010000011",  -- INDEX 131
1252
        B"00010111" when B"0010000100",  -- INDEX 132
1253
        B"11100101" when B"0010000101",  -- INDEX 133
1254
        B"10110111" when B"0010000110",  -- INDEX 134
1255
        B"10010100" when B"0010000111",  -- INDEX 135
1256
        B"10000010" when B"0010001000",  -- INDEX 136
1257
        B"10000011" when B"0010001001",  -- INDEX 137
1258
        B"10010111" when B"0010001010",  -- INDEX 138
1259
        B"10111100" when B"0010001011",  -- INDEX 139
1260
        B"11101011" when B"0010001100",  -- INDEX 140
1261
        B"00011101" when B"0010001101",  -- INDEX 141
1262
        B"01001010" when B"0010001110",  -- INDEX 142
1263
        B"01101101" when B"0010001111",  -- INDEX 143
1264
        B"01111110" when B"0010010000",  -- INDEX 144
1265
        B"01111101" when B"0010010001",  -- INDEX 145
1266
        B"01101000" when B"0010010010",  -- INDEX 146
1267
        B"01000011" when B"0010010011",  -- INDEX 147
1268
        B"00010100" when B"0010010100",  -- INDEX 148
1269
        B"11100010" when B"0010010101",  -- INDEX 149
1270
        B"10110100" when B"0010010110",  -- INDEX 150
1271
        B"10010010" when B"0010010111",  -- INDEX 151
1272
        B"10000001" when B"0010011000",  -- INDEX 152
1273
        B"10000100" when B"0010011001",  -- INDEX 153
1274
        B"10011010" when B"0010011010",  -- INDEX 154
1275
        B"10111111" when B"0010011011",  -- INDEX 155
1276
        B"11101110" when B"0010011100",  -- INDEX 156
1277
        B"00100001" when B"0010011101",  -- INDEX 157
1278
        B"01001110" when B"0010011110",  -- INDEX 158
1279
        B"01101111" when B"0010011111",  -- INDEX 159
1280
        B"01111111" when B"0010100000",  -- INDEX 160
1281
        B"01111011" when B"0010100001",  -- INDEX 161
1282
        B"01100101" when B"0010100010",  -- INDEX 162
1283
        B"00111111" when B"0010100011",  -- INDEX 163
1284
        B"00001111" when B"0010100100",  -- INDEX 164
1285
        B"11011101" when B"0010100101",  -- INDEX 165
1286
        B"10110000" when B"0010100110",  -- INDEX 166
1287
        B"10010000" when B"0010100111",  -- INDEX 167
1288
        B"10000001" when B"0010101000",  -- INDEX 168
1289
        B"10000101" when B"0010101001",  -- INDEX 169
1290
        B"10011101" when B"0010101010",  -- INDEX 170
1291
        B"11000011" when B"0010101011",  -- INDEX 171
1292
        B"11110011" when B"0010101100",  -- INDEX 172
1293
        B"00100110" when B"0010101101",  -- INDEX 173
1294
        B"01010010" when B"0010101110",  -- INDEX 174
1295
        B"01110001" when B"0010101111",  -- INDEX 175
1296
        B"01111111" when B"0010110000",  -- INDEX 176
1297
        B"01111010" when B"0010110001",  -- INDEX 177
1298
        B"01100001" when B"0010110010",  -- INDEX 178
1299
        B"00111010" when B"0010110011",  -- INDEX 179
1300
        B"00001001" when B"0010110100",  -- INDEX 180
1301
        B"11011000" when B"0010110101",  -- INDEX 181
1302
        B"10101100" when B"0010110110",  -- INDEX 182
1303
        B"10001101" when B"0010110111",  -- INDEX 183
1304
        B"10000000" when B"0010111000",  -- INDEX 184
1305
        B"10000111" when B"0010111001",  -- INDEX 185
1306
        B"10100001" when B"0010111010",  -- INDEX 186
1307
        B"11001001" when B"0010111011",  -- INDEX 187
1308
        B"11111010" when B"0010111100",  -- INDEX 188
1309
        B"00101100" when B"0010111101",  -- INDEX 189
1310
        B"01010111" when B"0010111110",  -- INDEX 190
1311
        B"01110100" when B"0010111111",  -- INDEX 191
1312
        B"01111111" when B"0011000000",  -- INDEX 192
1313
        B"01111000" when B"0011000001",  -- INDEX 193
1314
        B"01011101" when B"0011000010",  -- INDEX 194
1315
        B"00110100" when B"0011000011",  -- INDEX 195
1316
        B"00000010" when B"0011000100",  -- INDEX 196
1317
        B"11010001" when B"0011000101",  -- INDEX 197
1318
        B"10100111" when B"0011000110",  -- INDEX 198
1319
        B"10001010" when B"0011000111",  -- INDEX 199
1320
        B"10000000" when B"0011001000",  -- INDEX 200
1321
        B"10001010" when B"0011001001",  -- INDEX 201
1322
        B"10100110" when B"0011001010",  -- INDEX 202
1323
        B"11010000" when B"0011001011",  -- INDEX 203
1324
        B"00000001" when B"0011001100",  -- INDEX 204
1325
        B"00110011" when B"0011001101",  -- INDEX 205
1326
        B"01011100" when B"0011001110",  -- INDEX 206
1327
        B"01110111" when B"0011001111",  -- INDEX 207
1328
        B"01111111" when B"0011010000",  -- INDEX 208
1329
        B"01110101" when B"0011010001",  -- INDEX 209
1330
        B"01010111" when B"0011010010",  -- INDEX 210
1331
        B"00101101" when B"0011010011",  -- INDEX 211
1332
        B"11111011" when B"0011010100",  -- INDEX 212
1333
        B"11001010" when B"0011010101",  -- INDEX 213
1334
        B"10100001" when B"0011010110",  -- INDEX 214
1335
        B"10000111" when B"0011010111",  -- INDEX 215
1336
        B"10000000" when B"0011011000",  -- INDEX 216
1337
        B"10001101" when B"0011011001",  -- INDEX 217
1338
        B"10101011" when B"0011011010",  -- INDEX 218
1339
        B"11010111" when B"0011011011",  -- INDEX 219
1340
        B"00001001" when B"0011011100",  -- INDEX 220
1341
        B"00111001" when B"0011011101",  -- INDEX 221
1342
        B"01100001" when B"0011011110",  -- INDEX 222
1343
        B"01111010" when B"0011011111",  -- INDEX 223
1344
        B"01111111" when B"0011100000",  -- INDEX 224
1345
        B"01110010" when B"0011100001",  -- INDEX 225
1346
        B"01010010" when B"0011100010",  -- INDEX 226
1347
        B"00100110" when B"0011100011",  -- INDEX 227
1348
        B"11110100" when B"0011100100",  -- INDEX 228
1349
        B"11000100" when B"0011100101",  -- INDEX 229
1350
        B"10011101" when B"0011100110",  -- INDEX 230
1351
        B"10000101" when B"0011100111",  -- INDEX 231
1352
        B"10000001" when B"0011101000",  -- INDEX 232
1353
        B"10010000" when B"0011101001",  -- INDEX 233
1354
        B"10110000" when B"0011101010",  -- INDEX 234
1355
        B"11011100" when B"0011101011",  -- INDEX 235
1356
        B"00001110" when B"0011101100",  -- INDEX 236
1357
        B"00111110" when B"0011101101",  -- INDEX 237
1358
        B"01100101" when B"0011101110",  -- INDEX 238
1359
        B"01111011" when B"0011101111",  -- INDEX 239
1360
        B"01111111" when B"0011110000",  -- INDEX 240
1361
        B"01101111" when B"0011110001",  -- INDEX 241
1362
        B"01001110" when B"0011110010",  -- INDEX 242
1363
        B"00100001" when B"0011110011",  -- INDEX 243
1364
        B"11101111" when B"0011110100",  -- INDEX 244
1365
        B"11000000" when B"0011110101",  -- INDEX 245
1366
        B"10011010" when B"0011110110",  -- INDEX 246
1367
        B"10000100" when B"0011110111",  -- INDEX 247
1368
        B"10000001" when B"0011111000",  -- INDEX 248
1369
        B"10010010" when B"0011111001",  -- INDEX 249
1370
        B"10110011" when B"0011111010",  -- INDEX 250
1371
        B"11100001" when B"0011111011",  -- INDEX 251
1372
        B"00010011" when B"0011111100",  -- INDEX 252
1373
        B"01000010" when B"0011111101",  -- INDEX 253
1374
        B"01100111" when B"0011111110",  -- INDEX 254
1375
        B"01111100" when B"0011111111",  -- INDEX 255
1376
        B"01111110" when B"0100000000",  -- INDEX 256
1377
        B"01101101" when B"0100000001",  -- INDEX 257
1378
        B"01001011" when B"0100000010",  -- INDEX 258
1379
        B"00011101" when B"0100000011",  -- INDEX 259
1380
        B"11101011" when B"0100000100",  -- INDEX 260
1381
        B"10111100" when B"0100000101",  -- INDEX 261
1382
        B"10011000" when B"0100000110",  -- INDEX 262
1383
        B"10000011" when B"0100000111",  -- INDEX 263
1384
        B"10000010" when B"0100001000",  -- INDEX 264
1385
        B"10010100" when B"0100001001",  -- INDEX 265
1386
        B"10110110" when B"0100001010",  -- INDEX 266
1387
        B"11100100" when B"0100001011",  -- INDEX 267
1388
        B"00010110" when B"0100001100",  -- INDEX 268
1389
        B"01000101" when B"0100001101",  -- INDEX 269
1390
        B"01101001" when B"0100001110",  -- INDEX 270
1391
        B"01111101" when B"0100001111",  -- INDEX 271
1392
        B"01111110" when B"0100010000",  -- INDEX 272
1393
        B"01101100" when B"0100010001",  -- INDEX 273
1394
        B"01001001" when B"0100010010",  -- INDEX 274
1395
        B"00011011" when B"0100010011",  -- INDEX 275
1396
        B"11101001" when B"0100010100",  -- INDEX 276
1397
        B"10111010" when B"0100010101",  -- INDEX 277
1398
        B"10010110" when B"0100010110",  -- INDEX 278
1399
        B"10000011" when B"0100010111",  -- INDEX 279
1400
        B"10000010" when B"0100011000",  -- INDEX 280
1401
        B"10010101" when B"0100011001",  -- INDEX 281
1402
        B"10111000" when B"0100011010",  -- INDEX 282
1403
        B"11100110" when B"0100011011",  -- INDEX 283
1404
        B"00011000" when B"0100011100",  -- INDEX 284
1405
        B"01000110" when B"0100011101",  -- INDEX 285
1406
        B"01101010" when B"0100011110",  -- INDEX 286
1407
        B"01111101" when B"0100011111",  -- INDEX 287
1408
        B"01111110" when B"0100100000",  -- INDEX 288
1409
        B"01101011" when B"0100100001",  -- INDEX 289
1410
        B"01001000" when B"0100100010",  -- INDEX 290
1411
        B"00011001" when B"0100100011",  -- INDEX 291
1412
        B"11100111" when B"0100100100",  -- INDEX 292
1413
        B"10111001" when B"0100100101",  -- INDEX 293
1414
        B"10010110" when B"0100100110",  -- INDEX 294
1415
        B"10000011" when B"0100100111",  -- INDEX 295
1416
        B"10000010" when B"0100101000",  -- INDEX 296
1417
        B"10010101" when B"0100101001",  -- INDEX 297
1418
        B"10111001" when B"0100101010",  -- INDEX 298
1419
        B"11100111" when B"0100101011",  -- INDEX 299
1420
        B"00011001" when B"0100101100",  -- INDEX 300
1421
        B"01000111" when B"0100101101",  -- INDEX 301
1422
        B"01101010" when B"0100101110",  -- INDEX 302
1423
        B"01111101" when B"0100101111",  -- INDEX 303
1424
        B"01111110" when B"0100110000",  -- INDEX 304
1425
        B"01101011" when B"0100110001",  -- INDEX 305
1426
        B"01000111" when B"0100110010",  -- INDEX 306
1427
        B"00011001" when B"0100110011",  -- INDEX 307
1428
        B"11100111" when B"0100110100",  -- INDEX 308
1429
        B"10111001" when B"0100110101",  -- INDEX 309
1430
        B"10010110" when B"0100110110",  -- INDEX 310
1431
        B"10000011" when B"0100110111",  -- INDEX 311
1432
        B"10000010" when B"0100111000",  -- INDEX 312
1433
        B"10010101" when B"0100111001",  -- INDEX 313
1434
        B"10111000" when B"0100111010",  -- INDEX 314
1435
        B"11100110" when B"0100111011",  -- INDEX 315
1436
        B"00011000" when B"0100111100",  -- INDEX 316
1437
        B"01000110" when B"0100111101",  -- INDEX 317
1438
        B"01101010" when B"0100111110",  -- INDEX 318
1439
        B"01111101" when B"0100111111",  -- INDEX 319
1440
        B"01111110" when B"0101000000",  -- INDEX 320
1441
        B"01101011" when B"0101000001",  -- INDEX 321
1442
        B"01001000" when B"0101000010",  -- INDEX 322
1443
        B"00011010" when B"0101000011",  -- INDEX 323
1444
        B"11101001" when B"0101000100",  -- INDEX 324
1445
        B"10111010" when B"0101000101",  -- INDEX 325
1446
        B"10010111" when B"0101000110",  -- INDEX 326
1447
        B"10000011" when B"0101000111",  -- INDEX 327
1448
        B"10000010" when B"0101001000",  -- INDEX 328
1449
        B"10010100" when B"0101001001",  -- INDEX 329
1450
        B"10110111" when B"0101001010",  -- INDEX 330
1451
        B"11100100" when B"0101001011",  -- INDEX 331
1452
        B"00010110" when B"0101001100",  -- INDEX 332
1453
        B"01000101" when B"0101001101",  -- INDEX 333
1454
        B"01101001" when B"0101001110",  -- INDEX 334
1455
        B"01111101" when B"0101001111",  -- INDEX 335
1456
        B"01111110" when B"0101010000",  -- INDEX 336
1457
        B"01101100" when B"0101010001",  -- INDEX 337
1458
        B"01001010" when B"0101010010",  -- INDEX 338
1459
        B"00011101" when B"0101010011",  -- INDEX 339
1460
        B"11101011" when B"0101010100",  -- INDEX 340
1461
        B"10111101" when B"0101010101",  -- INDEX 341
1462
        B"10011000" when B"0101010110",  -- INDEX 342
1463
        B"10000011" when B"0101010111",  -- INDEX 343
1464
        B"10000010" when B"0101011000",  -- INDEX 344
1465
        B"10010011" when B"0101011001",  -- INDEX 345
1466
        B"10110100" when B"0101011010",  -- INDEX 346
1467
        B"11100001" when B"0101011011",  -- INDEX 347
1468
        B"00010011" when B"0101011100",  -- INDEX 348
1469
        B"01000010" when B"0101011101",  -- INDEX 349
1470
        B"01100111" when B"0101011110",  -- INDEX 350
1471
        B"01111100" when B"0101011111",  -- INDEX 351
1472
        B"01111111" when B"0101100000",  -- INDEX 352
1473
        B"01101110" when B"0101100001",  -- INDEX 353
1474
        B"01001101" when B"0101100010",  -- INDEX 354
1475
        B"00100000" when B"0101100011",  -- INDEX 355
1476
        B"11101111" when B"0101100100",  -- INDEX 356
1477
        B"11000000" when B"0101100101",  -- INDEX 357
1478
        B"10011011" when B"0101100110",  -- INDEX 358
1479
        B"10000100" when B"0101100111",  -- INDEX 359
1480
        B"10000001" when B"0101101000",  -- INDEX 360
1481
        B"10010001" when B"0101101001",  -- INDEX 361
1482
        B"10110001" when B"0101101010",  -- INDEX 362
1483
        B"11011101" when B"0101101011",  -- INDEX 363
1484
        B"00001111" when B"0101101100",  -- INDEX 364
1485
        B"00111110" when B"0101101101",  -- INDEX 365
1486
        B"01100100" when B"0101101110",  -- INDEX 366
1487
        B"01111011" when B"0101101111",  -- INDEX 367
1488
        B"01111111" when B"0101110000",  -- INDEX 368
1489
        B"01110001" when B"0101110001",  -- INDEX 369
1490
        B"01010001" when B"0101110010",  -- INDEX 370
1491
        B"00100101" when B"0101110011",  -- INDEX 371
1492
        B"11110100" when B"0101110100",  -- INDEX 372
1493
        B"11000100" when B"0101110101",  -- INDEX 373
1494
        B"10011110" when B"0101110110",  -- INDEX 374
1495
        B"10000110" when B"0101110111",  -- INDEX 375
1496
        B"10000000" when B"0101111000",  -- INDEX 376
1497
        B"10001110" when B"0101111001",  -- INDEX 377
1498
        B"10101101" when B"0101111010",  -- INDEX 378
1499
        B"11011000" when B"0101111011",  -- INDEX 379
1500
        B"00001001" when B"0101111100",  -- INDEX 380
1501
        B"00111001" when B"0101111101",  -- INDEX 381
1502
        B"01100000" when B"0101111110",  -- INDEX 382
1503
        B"01111001" when B"0101111111",  -- INDEX 383
1504
        B"01111111" when B"0110000000",  -- INDEX 384
1505
        B"01110011" when B"0110000001",  -- INDEX 385
1506
        B"01010110" when B"0110000010",  -- INDEX 386
1507
        B"00101011" when B"0110000011",  -- INDEX 387
1508
        B"11111010" when B"0110000100",  -- INDEX 388
1509
        B"11001010" when B"0110000101",  -- INDEX 389
1510
        B"10100010" when B"0110000110",  -- INDEX 390
1511
        B"10001000" when B"0110000111",  -- INDEX 391
1512
        B"10000000" when B"0110001000",  -- INDEX 392
1513
        B"10001011" when B"0110001001",  -- INDEX 393
1514
        B"10101000" when B"0110001010",  -- INDEX 394
1515
        B"11010001" when B"0110001011",  -- INDEX 395
1516
        B"00000010" when B"0110001100",  -- INDEX 396
1517
        B"00110010" when B"0110001101",  -- INDEX 397
1518
        B"01011011" when B"0110001110",  -- INDEX 398
1519
        B"01110110" when B"0110001111",  -- INDEX 399
1520
        B"01111111" when B"0110010000",  -- INDEX 400
1521
        B"01110110" when B"0110010001",  -- INDEX 401
1522
        B"01011011" when B"0110010010",  -- INDEX 402
1523
        B"00110010" when B"0110010011",  -- INDEX 403
1524
        B"00000010" when B"0110010100",  -- INDEX 404
1525
        B"11010001" when B"0110010101",  -- INDEX 405
1526
        B"10101000" when B"0110010110",  -- INDEX 406
1527
        B"10001011" when B"0110010111",  -- INDEX 407
1528
        B"10000000" when B"0110011000",  -- INDEX 408
1529
        B"10001000" when B"0110011001",  -- INDEX 409
1530
        B"10100010" when B"0110011010",  -- INDEX 410
1531
        B"11001010" when B"0110011011",  -- INDEX 411
1532
        B"11111010" when B"0110011100",  -- INDEX 412
1533
        B"00101011" when B"0110011101",  -- INDEX 413
1534
        B"01010110" when B"0110011110",  -- INDEX 414
1535
        B"01110011" when B"0110011111",  -- INDEX 415
1536
        B"01111111" when B"0110100000",  -- INDEX 416
1537
        B"01111001" when B"0110100001",  -- INDEX 417
1538
        B"01100000" when B"0110100010",  -- INDEX 418
1539
        B"00111001" when B"0110100011",  -- INDEX 419
1540
        B"00001001" when B"0110100100",  -- INDEX 420
1541
        B"11011000" when B"0110100101",  -- INDEX 421
1542
        B"10101101" when B"0110100110",  -- INDEX 422
1543
        B"10001110" when B"0110100111",  -- INDEX 423
1544
        B"10000000" when B"0110101000",  -- INDEX 424
1545
        B"10000110" when B"0110101001",  -- INDEX 425
1546
        B"10011110" when B"0110101010",  -- INDEX 426
1547
        B"11000100" when B"0110101011",  -- INDEX 427
1548
        B"11110100" when B"0110101100",  -- INDEX 428
1549
        B"00100101" when B"0110101101",  -- INDEX 429
1550
        B"01010001" when B"0110101110",  -- INDEX 430
1551
        B"01110001" when B"0110101111",  -- INDEX 431
1552
        B"01111111" when B"0110110000",  -- INDEX 432
1553
        B"01111011" when B"0110110001",  -- INDEX 433
1554
        B"01100100" when B"0110110010",  -- INDEX 434
1555
        B"00111110" when B"0110110011",  -- INDEX 435
1556
        B"00001111" when B"0110110100",  -- INDEX 436
1557
        B"11011101" when B"0110110101",  -- INDEX 437
1558
        B"10110001" when B"0110110110",  -- INDEX 438
1559
        B"10010001" when B"0110110111",  -- INDEX 439
1560
        B"10000001" when B"0110111000",  -- INDEX 440
1561
        B"10000100" when B"0110111001",  -- INDEX 441
1562
        B"10011010" when B"0110111010",  -- INDEX 442
1563
        B"11000000" when B"0110111011",  -- INDEX 443
1564
        B"11101111" when B"0110111100",  -- INDEX 444
1565
        B"00100000" when B"0110111101",  -- INDEX 445
1566
        B"01001101" when B"0110111110",  -- INDEX 446
1567
        B"01101110" when B"0110111111",  -- INDEX 447
1568
        B"01111111" when B"0111000000",  -- INDEX 448
1569
        B"01111100" when B"0111000001",  -- INDEX 449
1570
        B"01100111" when B"0111000010",  -- INDEX 450
1571
        B"01000010" when B"0111000011",  -- INDEX 451
1572
        B"00010011" when B"0111000100",  -- INDEX 452
1573
        B"11100010" when B"0111000101",  -- INDEX 453
1574
        B"10110101" when B"0111000110",  -- INDEX 454
1575
        B"10010011" when B"0111000111",  -- INDEX 455
1576
        B"10000010" when B"0111001000",  -- INDEX 456
1577
        B"10000011" when B"0111001001",  -- INDEX 457
1578
        B"10011000" when B"0111001010",  -- INDEX 458
1579
        B"10111100" when B"0111001011",  -- INDEX 459
1580
        B"11101011" when B"0111001100",  -- INDEX 460
1581
        B"00011101" when B"0111001101",  -- INDEX 461
1582
        B"01001010" when B"0111001110",  -- INDEX 462
1583
        B"01101100" when B"0111001111",  -- INDEX 463
1584
        B"01111110" when B"0111010000",  -- INDEX 464
1585
        B"01111101" when B"0111010001",  -- INDEX 465
1586
        B"01101001" when B"0111010010",  -- INDEX 466
1587
        B"01000101" when B"0111010011",  -- INDEX 467
1588
        B"00010111" when B"0111010100",  -- INDEX 468
1589
        B"11100101" when B"0111010101",  -- INDEX 469
1590
        B"10110111" when B"0111010110",  -- INDEX 470
1591
        B"10010101" when B"0111010111",  -- INDEX 471
1592
        B"10000010" when B"0111011000",  -- INDEX 472
1593
        B"10000011" when B"0111011001",  -- INDEX 473
1594
        B"10010110" when B"0111011010",  -- INDEX 474
1595
        B"10111010" when B"0111011011",  -- INDEX 475
1596
        B"11101000" when B"0111011100",  -- INDEX 476
1597
        B"00011010" when B"0111011101",  -- INDEX 477
1598
        B"01001000" when B"0111011110",  -- INDEX 478
1599
        B"01101011" when B"0111011111",  -- INDEX 479
1600
        B"01111110" when B"0111100000",  -- INDEX 480
1601
        B"01111101" when B"0111100001",  -- INDEX 481
1602
        B"01101010" when B"0111100010",  -- INDEX 482
1603
        B"01000111" when B"0111100011",  -- INDEX 483
1604
        B"00011001" when B"0111100100",  -- INDEX 484
1605
        B"11100111" when B"0111100101",  -- INDEX 485
1606
        B"10111001" when B"0111100110",  -- INDEX 486
1607
        B"10010101" when B"0111100111",  -- INDEX 487
1608
        B"10000010" when B"0111101000",  -- INDEX 488
1609
        B"10000010" when B"0111101001",  -- INDEX 489
1610
        B"10010110" when B"0111101010",  -- INDEX 490
1611
        B"10111001" when B"0111101011",  -- INDEX 491
1612
        B"11100111" when B"0111101100",  -- INDEX 492
1613
        B"00011001" when B"0111101101",  -- INDEX 493
1614
        B"01000111" when B"0111101110",  -- INDEX 494
1615
        B"01101010" when B"0111101111",  -- INDEX 495
1616
        B"01111110" when B"0111110000",  -- INDEX 496
1617
        B"01111110" when B"0111110001",  -- INDEX 497
1618
        B"01101011" when B"0111110010",  -- INDEX 498
1619
        B"01000111" when B"0111110011",  -- INDEX 499
1620
        B"00011001" when B"0111110100",  -- INDEX 500
1621
        B"11100111" when B"0111110101",  -- INDEX 501
1622
        B"10111001" when B"0111110110",  -- INDEX 502
1623
        B"10010110" when B"0111110111",  -- INDEX 503
1624
        B"10000011" when B"0111111000",  -- INDEX 504
1625
        B"10000010" when B"0111111001",  -- INDEX 505
1626
        B"10010101" when B"0111111010",  -- INDEX 506
1627
        B"10111001" when B"0111111011",  -- INDEX 507
1628
        B"11100111" when B"0111111100",  -- INDEX 508
1629
        B"00011001" when B"0111111101",  -- INDEX 509
1630
        B"01000111" when B"0111111110",  -- INDEX 510
1631
        B"01101010" when B"0111111111",  -- INDEX 511
1632
        B"01111110" when B"1000000000",  -- INDEX 512
1633
        B"01111110" when B"1000000001",  -- INDEX 513
1634
        B"01101010" when B"1000000010",  -- INDEX 514
1635
        B"01000111" when B"1000000011",  -- INDEX 515
1636
        B"00011001" when B"1000000100",  -- INDEX 516
1637
        B"11100111" when B"1000000101",  -- INDEX 517
1638
        B"10111000" when B"1000000110",  -- INDEX 518
1639
        B"10010101" when B"1000000111",  -- INDEX 519
1640
        B"10000010" when B"1000001000",  -- INDEX 520
1641
        B"10000011" when B"1000001001",  -- INDEX 521
1642
        B"10010110" when B"1000001010",  -- INDEX 522
1643
        B"10111010" when B"1000001011",  -- INDEX 523
1644
        B"11101000" when B"1000001100",  -- INDEX 524
1645
        B"00011010" when B"1000001101",  -- INDEX 525
1646
        B"01001000" when B"1000001110",  -- INDEX 526
1647
        B"01101011" when B"1000001111",  -- INDEX 527
1648
        B"01111110" when B"1000010000",  -- INDEX 528
1649
        B"01111101" when B"1000010001",  -- INDEX 529
1650
        B"01101001" when B"1000010010",  -- INDEX 530
1651
        B"01000101" when B"1000010011",  -- INDEX 531
1652
        B"00010111" when B"1000010100",  -- INDEX 532
1653
        B"11100101" when B"1000010101",  -- INDEX 533
1654
        B"10110111" when B"1000010110",  -- INDEX 534
1655
        B"10010100" when B"1000010111",  -- INDEX 535
1656
        B"10000010" when B"1000011000",  -- INDEX 536
1657
        B"10000011" when B"1000011001",  -- INDEX 537
1658
        B"10010111" when B"1000011010",  -- INDEX 538
1659
        B"10111100" when B"1000011011",  -- INDEX 539
1660
        B"11101011" when B"1000011100",  -- INDEX 540
1661
        B"00011101" when B"1000011101",  -- INDEX 541
1662
        B"01001010" when B"1000011110",  -- INDEX 542
1663
        B"01101101" when B"1000011111",  -- INDEX 543
1664
        B"01111110" when B"1000100000",  -- INDEX 544
1665
        B"01111101" when B"1000100001",  -- INDEX 545
1666
        B"01101000" when B"1000100010",  -- INDEX 546
1667
        B"01000011" when B"1000100011",  -- INDEX 547
1668
        B"00010100" when B"1000100100",  -- INDEX 548
1669
        B"11100010" when B"1000100101",  -- INDEX 549
1670
        B"10110100" when B"1000100110",  -- INDEX 550
1671
        B"10010010" when B"1000100111",  -- INDEX 551
1672
        B"10000001" when B"1000101000",  -- INDEX 552
1673
        B"10000100" when B"1000101001",  -- INDEX 553
1674
        B"10011010" when B"1000101010",  -- INDEX 554
1675
        B"10111111" when B"1000101011",  -- INDEX 555
1676
        B"11101110" when B"1000101100",  -- INDEX 556
1677
        B"00100001" when B"1000101101",  -- INDEX 557
1678
        B"01001110" when B"1000101110",  -- INDEX 558
1679
        B"01101111" when B"1000101111",  -- INDEX 559
1680
        B"01111111" when B"1000110000",  -- INDEX 560
1681
        B"01111011" when B"1000110001",  -- INDEX 561
1682
        B"01100101" when B"1000110010",  -- INDEX 562
1683
        B"00111111" when B"1000110011",  -- INDEX 563
1684
        B"00001111" when B"1000110100",  -- INDEX 564
1685
        B"11011101" when B"1000110101",  -- INDEX 565
1686
        B"10110000" when B"1000110110",  -- INDEX 566
1687
        B"10010000" when B"1000110111",  -- INDEX 567
1688
        B"10000001" when B"1000111000",  -- INDEX 568
1689
        B"10000101" when B"1000111001",  -- INDEX 569
1690
        B"10011101" when B"1000111010",  -- INDEX 570
1691
        B"11000011" when B"1000111011",  -- INDEX 571
1692
        B"11110011" when B"1000111100",  -- INDEX 572
1693
        B"00100110" when B"1000111101",  -- INDEX 573
1694
        B"01010010" when B"1000111110",  -- INDEX 574
1695
        B"01110001" when B"1000111111",  -- INDEX 575
1696
        B"01111111" when B"1001000000",  -- INDEX 576
1697
        B"01111010" when B"1001000001",  -- INDEX 577
1698
        B"01100001" when B"1001000010",  -- INDEX 578
1699
        B"00111010" when B"1001000011",  -- INDEX 579
1700
        B"00001001" when B"1001000100",  -- INDEX 580
1701
        B"11011000" when B"1001000101",  -- INDEX 581
1702
        B"10101100" when B"1001000110",  -- INDEX 582
1703
        B"10001101" when B"1001000111",  -- INDEX 583
1704
        B"10000000" when B"1001001000",  -- INDEX 584
1705
        B"10000111" when B"1001001001",  -- INDEX 585
1706
        B"10100001" when B"1001001010",  -- INDEX 586
1707
        B"11001001" when B"1001001011",  -- INDEX 587
1708
        B"11111010" when B"1001001100",  -- INDEX 588
1709
        B"00101100" when B"1001001101",  -- INDEX 589
1710
        B"01010111" when B"1001001110",  -- INDEX 590
1711
        B"01110100" when B"1001001111",  -- INDEX 591
1712
        B"01111111" when B"1001010000",  -- INDEX 592
1713
        B"01111000" when B"1001010001",  -- INDEX 593
1714
        B"01011101" when B"1001010010",  -- INDEX 594
1715
        B"00110100" when B"1001010011",  -- INDEX 595
1716
        B"00000010" when B"1001010100",  -- INDEX 596
1717
        B"11010001" when B"1001010101",  -- INDEX 597
1718
        B"10100111" when B"1001010110",  -- INDEX 598
1719
        B"10001010" when B"1001010111",  -- INDEX 599
1720
        B"10000000" when B"1001011000",  -- INDEX 600
1721
        B"10001010" when B"1001011001",  -- INDEX 601
1722
        B"10100110" when B"1001011010",  -- INDEX 602
1723
        B"11010000" when B"1001011011",  -- INDEX 603
1724
        B"00000001" when B"1001011100",  -- INDEX 604
1725
        B"00110011" when B"1001011101",  -- INDEX 605
1726
        B"01011100" when B"1001011110",  -- INDEX 606
1727
        B"01110111" when B"1001011111",  -- INDEX 607
1728
        B"01111111" when B"1001100000",  -- INDEX 608
1729
        B"01110101" when B"1001100001",  -- INDEX 609
1730
        B"01010111" when B"1001100010",  -- INDEX 610
1731
        B"00101101" when B"1001100011",  -- INDEX 611
1732
        B"11111011" when B"1001100100",  -- INDEX 612
1733
        B"11001010" when B"1001100101",  -- INDEX 613
1734
        B"10100001" when B"1001100110",  -- INDEX 614
1735
        B"10000111" when B"1001100111",  -- INDEX 615
1736
        B"10000000" when B"1001101000",  -- INDEX 616
1737
        B"10001101" when B"1001101001",  -- INDEX 617
1738
        B"10101011" when B"1001101010",  -- INDEX 618
1739
        B"11010111" when B"1001101011",  -- INDEX 619
1740
        B"00001001" when B"1001101100",  -- INDEX 620
1741
        B"00111001" when B"1001101101",  -- INDEX 621
1742
        B"01100001" when B"1001101110",  -- INDEX 622
1743
        B"01111010" when B"1001101111",  -- INDEX 623
1744
        B"01111111" when B"1001110000",  -- INDEX 624
1745
        B"01110010" when B"1001110001",  -- INDEX 625
1746
        B"01010010" when B"1001110010",  -- INDEX 626
1747
        B"00100110" when B"1001110011",  -- INDEX 627
1748
        B"11110100" when B"1001110100",  -- INDEX 628
1749
        B"11000100" when B"1001110101",  -- INDEX 629
1750
        B"10011101" when B"1001110110",  -- INDEX 630
1751
        B"10000101" when B"1001110111",  -- INDEX 631
1752
        B"10000001" when B"1001111000",  -- INDEX 632
1753
        B"10010000" when B"1001111001",  -- INDEX 633
1754
        B"10110000" when B"1001111010",  -- INDEX 634
1755
        B"11011100" when B"1001111011",  -- INDEX 635
1756
        B"00001110" when B"1001111100",  -- INDEX 636
1757
        B"00111110" when B"1001111101",  -- INDEX 637
1758
        B"01100101" when B"1001111110",  -- INDEX 638
1759
        B"01111011" when B"1001111111",  -- INDEX 639
1760
        B"01111111" when B"1010000000",  -- INDEX 640
1761
        B"01101111" when B"1010000001",  -- INDEX 641
1762
        B"01001110" when B"1010000010",  -- INDEX 642
1763
        B"00100001" when B"1010000011",  -- INDEX 643
1764
        B"11101111" when B"1010000100",  -- INDEX 644
1765
        B"11000000" when B"1010000101",  -- INDEX 645
1766
        B"10011010" when B"1010000110",  -- INDEX 646
1767
        B"10000100" when B"1010000111",  -- INDEX 647
1768
        B"10000001" when B"1010001000",  -- INDEX 648
1769
        B"10010010" when B"1010001001",  -- INDEX 649
1770
        B"10110011" when B"1010001010",  -- INDEX 650
1771
        B"11100001" when B"1010001011",  -- INDEX 651
1772
        B"00010011" when B"1010001100",  -- INDEX 652
1773
        B"01000010" when B"1010001101",  -- INDEX 653
1774
        B"01100111" when B"1010001110",  -- INDEX 654
1775
        B"01111100" when B"1010001111",  -- INDEX 655
1776
        B"01111110" when B"1010010000",  -- INDEX 656
1777
        B"01101101" when B"1010010001",  -- INDEX 657
1778
        B"01001011" when B"1010010010",  -- INDEX 658
1779
        B"00011101" when B"1010010011",  -- INDEX 659
1780
        B"11101011" when B"1010010100",  -- INDEX 660
1781
        B"10111100" when B"1010010101",  -- INDEX 661
1782
        B"10011000" when B"1010010110",  -- INDEX 662
1783
        B"10000011" when B"1010010111",  -- INDEX 663
1784
        B"10000010" when B"1010011000",  -- INDEX 664
1785
        B"10010100" when B"1010011001",  -- INDEX 665
1786
        B"10110110" when B"1010011010",  -- INDEX 666
1787
        B"11100100" when B"1010011011",  -- INDEX 667
1788
        B"00010110" when B"1010011100",  -- INDEX 668
1789
        B"01000101" when B"1010011101",  -- INDEX 669
1790
        B"01101001" when B"1010011110",  -- INDEX 670
1791
        B"01111101" when B"1010011111",  -- INDEX 671
1792
        B"01111110" when B"1010100000",  -- INDEX 672
1793
        B"01101100" when B"1010100001",  -- INDEX 673
1794
        B"01001001" when B"1010100010",  -- INDEX 674
1795
        B"00011011" when B"1010100011",  -- INDEX 675
1796
        B"11101001" when B"1010100100",  -- INDEX 676
1797
        B"10111010" when B"1010100101",  -- INDEX 677
1798
        B"10010110" when B"1010100110",  -- INDEX 678
1799
        B"10000011" when B"1010100111",  -- INDEX 679
1800
        B"10000010" when B"1010101000",  -- INDEX 680
1801
        B"10010101" when B"1010101001",  -- INDEX 681
1802
        B"10111000" when B"1010101010",  -- INDEX 682
1803
        B"11100110" when B"1010101011",  -- INDEX 683
1804
        B"00011000" when B"1010101100",  -- INDEX 684
1805
        B"01000110" when B"1010101101",  -- INDEX 685
1806
        B"01101010" when B"1010101110",  -- INDEX 686
1807
        B"01111101" when B"1010101111",  -- INDEX 687
1808
        B"01111110" when B"1010110000",  -- INDEX 688
1809
        B"01101011" when B"1010110001",  -- INDEX 689
1810
        B"01001000" when B"1010110010",  -- INDEX 690
1811
        B"00011001" when B"1010110011",  -- INDEX 691
1812
        B"11100111" when B"1010110100",  -- INDEX 692
1813
        B"10111001" when B"1010110101",  -- INDEX 693
1814
        B"10010110" when B"1010110110",  -- INDEX 694
1815
        B"10000011" when B"1010110111",  -- INDEX 695
1816
        B"10000010" when B"1010111000",  -- INDEX 696
1817
        B"10010101" when B"1010111001",  -- INDEX 697
1818
        B"10111001" when B"1010111010",  -- INDEX 698
1819
        B"11100111" when B"1010111011",  -- INDEX 699
1820
        B"00011001" when B"1010111100",  -- INDEX 700
1821
        B"01000111" when B"1010111101",  -- INDEX 701
1822
        B"01101010" when B"1010111110",  -- INDEX 702
1823
        B"01111101" when B"1010111111",  -- INDEX 703
1824
        B"01111110" when B"1011000000",  -- INDEX 704
1825
        B"01101011" when B"1011000001",  -- INDEX 705
1826
        B"01000111" when B"1011000010",  -- INDEX 706
1827
        B"00011001" when B"1011000011",  -- INDEX 707
1828
        B"11100111" when B"1011000100",  -- INDEX 708
1829
        B"10111001" when B"1011000101",  -- INDEX 709
1830
        B"10010110" when B"1011000110",  -- INDEX 710
1831
        B"10000011" when B"1011000111",  -- INDEX 711
1832
        B"10000010" when B"1011001000",  -- INDEX 712
1833
        B"10010101" when B"1011001001",  -- INDEX 713
1834
        B"10111000" when B"1011001010",  -- INDEX 714
1835
        B"11100110" when B"1011001011",  -- INDEX 715
1836
        B"00011000" when B"1011001100",  -- INDEX 716
1837
        B"01000110" when B"1011001101",  -- INDEX 717
1838
        B"01101010" when B"1011001110",  -- INDEX 718
1839
        B"01111101" when B"1011001111",  -- INDEX 719
1840
        B"01111110" when B"1011010000",  -- INDEX 720
1841
        B"01101011" when B"1011010001",  -- INDEX 721
1842
        B"01001000" when B"1011010010",  -- INDEX 722
1843
        B"00011010" when B"1011010011",  -- INDEX 723
1844
        B"11101001" when B"1011010100",  -- INDEX 724
1845
        B"10111010" when B"1011010101",  -- INDEX 725
1846
        B"10010111" when B"1011010110",  -- INDEX 726
1847
        B"10000011" when B"1011010111",  -- INDEX 727
1848
        B"10000010" when B"1011011000",  -- INDEX 728
1849
        B"10010100" when B"1011011001",  -- INDEX 729
1850
        B"10110111" when B"1011011010",  -- INDEX 730
1851
        B"11100100" when B"1011011011",  -- INDEX 731
1852
        B"00010110" when B"1011011100",  -- INDEX 732
1853
        B"01000101" when B"1011011101",  -- INDEX 733
1854
        B"01101001" when B"1011011110",  -- INDEX 734
1855
        B"01111101" when B"1011011111",  -- INDEX 735
1856
        B"01111110" when B"1011100000",  -- INDEX 736
1857
        B"01101100" when B"1011100001",  -- INDEX 737
1858
        B"01001010" when B"1011100010",  -- INDEX 738
1859
        B"00011101" when B"1011100011",  -- INDEX 739
1860
        B"11101011" when B"1011100100",  -- INDEX 740
1861
        B"10111101" when B"1011100101",  -- INDEX 741
1862
        B"10011000" when B"1011100110",  -- INDEX 742
1863
        B"10000011" when B"1011100111",  -- INDEX 743
1864
        B"10000010" when B"1011101000",  -- INDEX 744
1865
        B"10010011" when B"1011101001",  -- INDEX 745
1866
        B"10110100" when B"1011101010",  -- INDEX 746
1867
        B"11100001" when B"1011101011",  -- INDEX 747
1868
        B"00010011" when B"1011101100",  -- INDEX 748
1869
        B"01000010" when B"1011101101",  -- INDEX 749
1870
        B"01100111" when B"1011101110",  -- INDEX 750
1871
        B"01111100" when B"1011101111",  -- INDEX 751
1872
        B"01111111" when B"1011110000",  -- INDEX 752
1873
        B"01101110" when B"1011110001",  -- INDEX 753
1874
        B"01001101" when B"1011110010",  -- INDEX 754
1875
        B"00100000" when B"1011110011",  -- INDEX 755
1876
        B"11101111" when B"1011110100",  -- INDEX 756
1877
        B"11000000" when B"1011110101",  -- INDEX 757
1878
        B"10011011" when B"1011110110",  -- INDEX 758
1879
        B"10000100" when B"1011110111",  -- INDEX 759
1880
        B"10000001" when B"1011111000",  -- INDEX 760
1881
        B"10010001" when B"1011111001",  -- INDEX 761
1882
        B"10110001" when B"1011111010",  -- INDEX 762
1883
        B"11011101" when B"1011111011",  -- INDEX 763
1884
        B"00001111" when B"1011111100",  -- INDEX 764
1885
        B"00111110" when B"1011111101",  -- INDEX 765
1886
        B"01100100" when B"1011111110",  -- INDEX 766
1887
        B"01111011" when B"1011111111",  -- INDEX 767
1888
        B"01111111" when B"1100000000",  -- INDEX 768
1889
        B"01110001" when B"1100000001",  -- INDEX 769
1890
        B"01010001" when B"1100000010",  -- INDEX 770
1891
        B"00100101" when B"1100000011",  -- INDEX 771
1892
        B"11110100" when B"1100000100",  -- INDEX 772
1893
        B"11000100" when B"1100000101",  -- INDEX 773
1894
        B"10011110" when B"1100000110",  -- INDEX 774
1895
        B"10000110" when B"1100000111",  -- INDEX 775
1896
        B"10000000" when B"1100001000",  -- INDEX 776
1897
        B"10001110" when B"1100001001",  -- INDEX 777
1898
        B"10101101" when B"1100001010",  -- INDEX 778
1899
        B"11011000" when B"1100001011",  -- INDEX 779
1900
        B"00001001" when B"1100001100",  -- INDEX 780
1901
        B"00111001" when B"1100001101",  -- INDEX 781
1902
        B"01100000" when B"1100001110",  -- INDEX 782
1903
        B"01111001" when B"1100001111",  -- INDEX 783
1904
        B"01111111" when B"1100010000",  -- INDEX 784
1905
        B"01110011" when B"1100010001",  -- INDEX 785
1906
        B"01010110" when B"1100010010",  -- INDEX 786
1907
        B"00101011" when B"1100010011",  -- INDEX 787
1908
        B"11111010" when B"1100010100",  -- INDEX 788
1909
        B"11001010" when B"1100010101",  -- INDEX 789
1910
        B"10100010" when B"1100010110",  -- INDEX 790
1911
        B"10001000" when B"1100010111",  -- INDEX 791
1912
        B"10000000" when B"1100011000",  -- INDEX 792
1913
        B"10001011" when B"1100011001",  -- INDEX 793
1914
        B"10101000" when B"1100011010",  -- INDEX 794
1915
        B"11010001" when B"1100011011",  -- INDEX 795
1916
        B"00000010" when B"1100011100",  -- INDEX 796
1917
        B"00110010" when B"1100011101",  -- INDEX 797
1918
        B"01011011" when B"1100011110",  -- INDEX 798
1919
        B"01110110" when B"1100011111",  -- INDEX 799
1920
        B"01111111" when B"1100100000",  -- INDEX 800
1921
        B"01110110" when B"1100100001",  -- INDEX 801
1922
        B"01011011" when B"1100100010",  -- INDEX 802
1923
        B"00110010" when B"1100100011",  -- INDEX 803
1924
        B"00000010" when B"1100100100",  -- INDEX 804
1925
        B"11010001" when B"1100100101",  -- INDEX 805
1926
        B"10101000" when B"1100100110",  -- INDEX 806
1927
        B"10001011" when B"1100100111",  -- INDEX 807
1928
        B"10000000" when B"1100101000",  -- INDEX 808
1929
        B"10001000" when B"1100101001",  -- INDEX 809
1930
        B"10100010" when B"1100101010",  -- INDEX 810
1931
        B"11001010" when B"1100101011",  -- INDEX 811
1932
        B"11111010" when B"1100101100",  -- INDEX 812
1933
        B"00101011" when B"1100101101",  -- INDEX 813
1934
        B"01010110" when B"1100101110",  -- INDEX 814
1935
        B"01110011" when B"1100101111",  -- INDEX 815
1936
        B"01111111" when B"1100110000",  -- INDEX 816
1937
        B"01111001" when B"1100110001",  -- INDEX 817
1938
        B"01100000" when B"1100110010",  -- INDEX 818
1939
        B"00111001" when B"1100110011",  -- INDEX 819
1940
        B"00001001" when B"1100110100",  -- INDEX 820
1941
        B"11011000" when B"1100110101",  -- INDEX 821
1942
        B"10101101" when B"1100110110",  -- INDEX 822
1943
        B"10001110" when B"1100110111",  -- INDEX 823
1944
        B"10000000" when B"1100111000",  -- INDEX 824
1945
        B"10000110" when B"1100111001",  -- INDEX 825
1946
        B"10011110" when B"1100111010",  -- INDEX 826
1947
        B"11000100" when B"1100111011",  -- INDEX 827
1948
        B"11110100" when B"1100111100",  -- INDEX 828
1949
        B"00100101" when B"1100111101",  -- INDEX 829
1950
        B"01010001" when B"1100111110",  -- INDEX 830
1951
        B"01110001" when B"1100111111",  -- INDEX 831
1952
        B"01111111" when B"1101000000",  -- INDEX 832
1953
        B"01111011" when B"1101000001",  -- INDEX 833
1954
        B"01100100" when B"1101000010",  -- INDEX 834
1955
        B"00111110" when B"1101000011",  -- INDEX 835
1956
        B"00001111" when B"1101000100",  -- INDEX 836
1957
        B"11011101" when B"1101000101",  -- INDEX 837
1958
        B"10110001" when B"1101000110",  -- INDEX 838
1959
        B"10010001" when B"1101000111",  -- INDEX 839
1960
        B"10000001" when B"1101001000",  -- INDEX 840
1961
        B"10000100" when B"1101001001",  -- INDEX 841
1962
        B"10011010" when B"1101001010",  -- INDEX 842
1963
        B"11000000" when B"1101001011",  -- INDEX 843
1964
        B"11101111" when B"1101001100",  -- INDEX 844
1965
        B"00100000" when B"1101001101",  -- INDEX 845
1966
        B"01001101" when B"1101001110",  -- INDEX 846
1967
        B"01101110" when B"1101001111",  -- INDEX 847
1968
        B"01111111" when B"1101010000",  -- INDEX 848
1969
        B"01111100" when B"1101010001",  -- INDEX 849
1970
        B"01100111" when B"1101010010",  -- INDEX 850
1971
        B"01000010" when B"1101010011",  -- INDEX 851
1972
        B"00010011" when B"1101010100",  -- INDEX 852
1973
        B"11100010" when B"1101010101",  -- INDEX 853
1974
        B"10110101" when B"1101010110",  -- INDEX 854
1975
        B"10010011" when B"1101010111",  -- INDEX 855
1976
        B"10000010" when B"1101011000",  -- INDEX 856
1977
        B"10000011" when B"1101011001",  -- INDEX 857
1978
        B"10011000" when B"1101011010",  -- INDEX 858
1979
        B"10111100" when B"1101011011",  -- INDEX 859
1980
        B"11101011" when B"1101011100",  -- INDEX 860
1981
        B"00011101" when B"1101011101",  -- INDEX 861
1982
        B"01001010" when B"1101011110",  -- INDEX 862
1983
        B"01101100" when B"1101011111",  -- INDEX 863
1984
        B"01111110" when B"1101100000",  -- INDEX 864
1985
        B"01111101" when B"1101100001",  -- INDEX 865
1986
        B"01101001" when B"1101100010",  -- INDEX 866
1987
        B"01000101" when B"1101100011",  -- INDEX 867
1988
        B"00010111" when B"1101100100",  -- INDEX 868
1989
        B"11100101" when B"1101100101",  -- INDEX 869
1990
        B"10110111" when B"1101100110",  -- INDEX 870
1991
        B"10010101" when B"1101100111",  -- INDEX 871
1992
        B"10000010" when B"1101101000",  -- INDEX 872
1993
        B"10000011" when B"1101101001",  -- INDEX 873
1994
        B"10010110" when B"1101101010",  -- INDEX 874
1995
        B"10111010" when B"1101101011",  -- INDEX 875
1996
        B"11101000" when B"1101101100",  -- INDEX 876
1997
        B"00011010" when B"1101101101",  -- INDEX 877
1998
        B"01001000" when B"1101101110",  -- INDEX 878
1999
        B"01101011" when B"1101101111",  -- INDEX 879
2000
        B"01111110" when B"1101110000",  -- INDEX 880
2001
        B"01111101" when B"1101110001",  -- INDEX 881
2002
        B"01101010" when B"1101110010",  -- INDEX 882
2003
        B"01000111" when B"1101110011",  -- INDEX 883
2004
        B"00011001" when B"1101110100",  -- INDEX 884
2005
        B"11100111" when B"1101110101",  -- INDEX 885
2006
        B"10111001" when B"1101110110",  -- INDEX 886
2007
        B"10010101" when B"1101110111",  -- INDEX 887
2008
        B"10000010" when B"1101111000",  -- INDEX 888
2009
        B"10000010" when B"1101111001",  -- INDEX 889
2010
        B"10010110" when B"1101111010",  -- INDEX 890
2011
        B"10111001" when B"1101111011",  -- INDEX 891
2012
        B"11100111" when B"1101111100",  -- INDEX 892
2013
        B"00011001" when B"1101111101",  -- INDEX 893
2014
        B"01000111" when B"1101111110",  -- INDEX 894
2015
        B"01101010" when B"1101111111",  -- INDEX 895
2016
        B"01111110" when B"1110000000",  -- INDEX 896
2017
        B"01111110" when B"1110000001",  -- INDEX 897
2018
        B"01101011" when B"1110000010",  -- INDEX 898
2019
        B"01000111" when B"1110000011",  -- INDEX 899
2020
        B"00011001" when B"1110000100",  -- INDEX 900
2021
        B"11100111" when B"1110000101",  -- INDEX 901
2022
        B"10111001" when B"1110000110",  -- INDEX 902
2023
        B"10010110" when B"1110000111",  -- INDEX 903
2024
        B"10000011" when B"1110001000",  -- INDEX 904
2025
        B"10000010" when B"1110001001",  -- INDEX 905
2026
        B"10010101" when B"1110001010",  -- INDEX 906
2027
        B"10111001" when B"1110001011",  -- INDEX 907
2028
        B"11100111" when B"1110001100",  -- INDEX 908
2029
        B"00011001" when B"1110001101",  -- INDEX 909
2030
        B"01000111" when B"1110001110",  -- INDEX 910
2031
        B"01101010" when B"1110001111",  -- INDEX 911
2032
        B"01111110" when B"1110010000",  -- INDEX 912
2033
        B"01111110" when B"1110010001",  -- INDEX 913
2034
        B"01101010" when B"1110010010",  -- INDEX 914
2035
        B"01000111" when B"1110010011",  -- INDEX 915
2036
        B"00011001" when B"1110010100",  -- INDEX 916
2037
        B"11100111" when B"1110010101",  -- INDEX 917
2038
        B"10111000" when B"1110010110",  -- INDEX 918
2039
        B"10010101" when B"1110010111",  -- INDEX 919
2040
        B"10000010" when B"1110011000",  -- INDEX 920
2041
        B"10000011" when B"1110011001",  -- INDEX 921
2042
        B"10010110" when B"1110011010",  -- INDEX 922
2043
        B"10111010" when B"1110011011",  -- INDEX 923
2044
        B"11101000" when B"1110011100",  -- INDEX 924
2045
        B"00011010" when B"1110011101",  -- INDEX 925
2046
        B"01001000" when B"1110011110",  -- INDEX 926
2047
        B"01101011" when B"1110011111",  -- INDEX 927
2048
        B"01111110" when B"1110100000",  -- INDEX 928
2049
        B"01111101" when B"1110100001",  -- INDEX 929
2050
        B"01101001" when B"1110100010",  -- INDEX 930
2051
        B"01000101" when B"1110100011",  -- INDEX 931
2052
        B"00010111" when B"1110100100",  -- INDEX 932
2053
        B"11100101" when B"1110100101",  -- INDEX 933
2054
        B"10110111" when B"1110100110",  -- INDEX 934
2055
        B"10010100" when B"1110100111",  -- INDEX 935
2056
        B"10000010" when B"1110101000",  -- INDEX 936
2057
        B"10000011" when B"1110101001",  -- INDEX 937
2058
        B"10010111" when B"1110101010",  -- INDEX 938
2059
        B"10111100" when B"1110101011",  -- INDEX 939
2060
        B"11101011" when B"1110101100",  -- INDEX 940
2061
        B"00011101" when B"1110101101",  -- INDEX 941
2062
        B"01001010" when B"1110101110",  -- INDEX 942
2063
        B"01101101" when B"1110101111",  -- INDEX 943
2064
        B"01111110" when B"1110110000",  -- INDEX 944
2065
        B"01111101" when B"1110110001",  -- INDEX 945
2066
        B"01101000" when B"1110110010",  -- INDEX 946
2067
        B"01000011" when B"1110110011",  -- INDEX 947
2068
        B"00010100" when B"1110110100",  -- INDEX 948
2069
        B"11100010" when B"1110110101",  -- INDEX 949
2070
        B"10110100" when B"1110110110",  -- INDEX 950
2071
        B"10010010" when B"1110110111",  -- INDEX 951
2072
        B"10000001" when B"1110111000",  -- INDEX 952
2073
        B"10000100" when B"1110111001",  -- INDEX 953
2074
        B"10011010" when B"1110111010",  -- INDEX 954
2075
        B"10111111" when B"1110111011",  -- INDEX 955
2076
        B"11101110" when B"1110111100",  -- INDEX 956
2077
        B"00100001" when B"1110111101",  -- INDEX 957
2078
        B"01001110" when B"1110111110",  -- INDEX 958
2079
        B"01101111" when B"1110111111",  -- INDEX 959
2080
        B"01111111" when B"1111000000",  -- INDEX 960
2081
        B"01111011" when B"1111000001",  -- INDEX 961
2082
        B"01100101" when B"1111000010",  -- INDEX 962
2083
        B"00111111" when B"1111000011",  -- INDEX 963
2084
        B"00001111" when B"1111000100",  -- INDEX 964
2085
        B"11011101" when B"1111000101",  -- INDEX 965
2086
        B"10110000" when B"1111000110",  -- INDEX 966
2087
        B"10010000" when B"1111000111",  -- INDEX 967
2088
        B"10000001" when B"1111001000",  -- INDEX 968
2089
        B"10000101" when B"1111001001",  -- INDEX 969
2090
        B"10011101" when B"1111001010",  -- INDEX 970
2091
        B"11000011" when B"1111001011",  -- INDEX 971
2092
        B"11110011" when B"1111001100",  -- INDEX 972
2093
        B"00100110" when B"1111001101",  -- INDEX 973
2094
        B"01010010" when B"1111001110",  -- INDEX 974
2095
        B"01110001" when B"1111001111",  -- INDEX 975
2096
        B"01111111" when B"1111010000",  -- INDEX 976
2097
        B"01111010" when B"1111010001",  -- INDEX 977
2098
        B"01100001" when B"1111010010",  -- INDEX 978
2099
        B"00111010" when B"1111010011",  -- INDEX 979
2100
        B"00001001" when B"1111010100",  -- INDEX 980
2101
        B"11011000" when B"1111010101",  -- INDEX 981
2102
        B"10101100" when B"1111010110",  -- INDEX 982
2103
        B"10001101" when B"1111010111",  -- INDEX 983
2104
        B"10000000" when B"1111011000",  -- INDEX 984
2105
        B"10000111" when B"1111011001",  -- INDEX 985
2106
        B"10100001" when B"1111011010",  -- INDEX 986
2107
        B"11001001" when B"1111011011",  -- INDEX 987
2108
        B"11111010" when B"1111011100",  -- INDEX 988
2109
        B"00101100" when B"1111011101",  -- INDEX 989
2110
        B"01010111" when B"1111011110",  -- INDEX 990
2111
        B"01110100" when B"1111011111",  -- INDEX 991
2112
        B"01111111" when B"1111100000",  -- INDEX 992
2113
        B"01111000" when B"1111100001",  -- INDEX 993
2114
        B"01011101" when B"1111100010",  -- INDEX 994
2115
        B"00110100" when B"1111100011",  -- INDEX 995
2116
        B"00000010" when B"1111100100",  -- INDEX 996
2117
        B"11010001" when B"1111100101",  -- INDEX 997
2118
        B"10100111" when B"1111100110",  -- INDEX 998
2119
        B"10001010" when B"1111100111",  -- INDEX 999
2120
 
2121
-- END INPUT FM SIGNAL
2122
        B"00000000" when others;
2123
 
2124
end input_data;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.