OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [bench/] [input_fm.vhdl] - Blame information for rev 35

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 14 arif_endro
-- $Id: input_fm.vhdl,v 1.4 2005-03-04 08:03:59 arif_endro Exp $
2 2 arif_endro
-------------------------------------------------------------------------------
3
-- Title       : Input signal FM
4
-- Project     : FM Receiver 
5
-------------------------------------------------------------------------------
6
-- File        : input_fm.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2004/12/22
9
-- Last update : 
10 13 arif_endro
-- Simulators  :
11 2 arif_endro
-- Synthesizers: 
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Input signal FM 1000 signal
15
-------------------------------------------------------------------------------
16 13 arif_endro
-- Copyright (C) 2004 Arif E. Nugroho
17 2 arif_endro
-- This VHDL design file is an open design; you can redistribute it and/or
18
-- modify it and/or implement it after contacting the author
19
-------------------------------------------------------------------------------
20 13 arif_endro
-------------------------------------------------------------------------------
21
-- 
22
--      THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
23
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
24
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
25
-- ASSOCIATED DISCLAIMER.
26
-- 
27
-------------------------------------------------------------------------------
28
-- 
29
--      THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
30
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
31
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
32
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
33
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
34
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
35
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
36
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
37
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
38
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
39
-- 
40
-------------------------------------------------------------------------------
41 2 arif_endro
 
42
library IEEE;
43
use IEEE.std_logic_1164.all;
44
 
45
entity input_fm is
46
   port (
47
   clock              : in  bit;
48
   clear              : in  bit;
49
   test_signal_fm     : out bit_vector (07 downto 0);
50
   test_signal_fmTri  : out bit_vector (07 downto 0)
51
   );
52
end input_fm;
53
 
54
architecture input_data of input_fm is
55
component adder_10bit
56
        port (
57
        addend_10bit          : in  bit_vector (09 downto 0);
58
        augend_10bit          : in  bit_vector (09 downto 0);
59
        adder10_output        : out bit_vector (10 downto 0)
60
        );
61
end component;
62
 
63
signal test_signal_fm_int    : bit_vector (07 downto 0);
64
signal test_signal_fmTri_int : bit_vector (07 downto 0);
65
signal counter               : bit_vector (09 downto 0);
66
signal counter_tmp           : bit_vector (10 downto 0);
67
signal one_increment         : bit_vector (09 downto 0);
68 14 arif_endro
 
69 2 arif_endro
begin
70
 
71
 
72
    one_increment (00) <= '1';
73
    one_increment (01) <= '0';
74
    one_increment (02) <= '0';
75
    one_increment (03) <= '0';
76
    one_increment (04) <= '0';
77
    one_increment (05) <= '0';
78
    one_increment (06) <= '0';
79
    one_increment (07) <= '0';
80
    one_increment (08) <= '0';
81
    one_increment (09) <= '0';
82
 
83
counter_one : adder_10bit
84
    port map (
85
    addend_10bit   => counter,
86
    augend_10bit   => one_increment,
87
    adder10_output => counter_tmp
88
    );
89
 
90
process (clock, clear)
91
begin
92 14 arif_endro
 
93 4 arif_endro
    if (clear = '1') then
94 14 arif_endro
                counter              <= (others => '0');
95
                test_signal_fm       <= (others => '0');
96
                test_signal_fmTri    <= (others => '0');
97 4 arif_endro
    elsif (((clock = '1') and (not( clear = '1'))) and clock'event) then
98 2 arif_endro
                counter(09 downto 0) <= counter_tmp(09 downto 0);
99 14 arif_endro
                test_signal_fm       <= test_signal_fm_int;
100
                test_signal_fmTri    <= test_signal_fmTri_int;
101 2 arif_endro
    end if;
102 14 arif_endro
 
103 2 arif_endro
end process;
104
 
105
        with counter (09 downto 0) select
106 14 arif_endro
 
107 2 arif_endro
        test_signal_fm_int <=
108 14 arif_endro
 
109 2 arif_endro
-- START INPUT FM SIGNAL
110
 
111
        B"01111111" when B"0000000000",  -- INDEX 0
112
        B"01110110" when B"0000000001",  -- INDEX 1
113
        B"01011010" when B"0000000010",  -- INDEX 2
114
        B"00110000" when B"0000000011",  -- INDEX 3
115
        B"11111110" when B"0000000100",  -- INDEX 4
116
        B"11001101" when B"0000000101",  -- INDEX 5
117
        B"10100011" when B"0000000110",  -- INDEX 6
118
        B"10001000" when B"0000000111",  -- INDEX 7
119
        B"10000000" when B"0000001000",  -- INDEX 8
120
        B"10001100" when B"0000001001",  -- INDEX 9
121
        B"10101001" when B"0000001010",  -- INDEX 10
122
        B"11010100" when B"0000001011",  -- INDEX 11
123
        B"00000110" when B"0000001100",  -- INDEX 12
124
        B"00110111" when B"0000001101",  -- INDEX 13
125
        B"01011111" when B"0000001110",  -- INDEX 14
126
        B"01111001" when B"0000001111",  -- INDEX 15
127
        B"01111111" when B"0000010000",  -- INDEX 16
128
        B"01110011" when B"0000010001",  -- INDEX 17
129
        B"01010100" when B"0000010010",  -- INDEX 18
130
        B"00101000" when B"0000010011",  -- INDEX 19
131
        B"11110110" when B"0000010100",  -- INDEX 20
132
        B"11000101" when B"0000010101",  -- INDEX 21
133
        B"10011110" when B"0000010110",  -- INDEX 22
134
        B"10000110" when B"0000010111",  -- INDEX 23
135
        B"10000001" when B"0000011000",  -- INDEX 24
136
        B"10001111" when B"0000011001",  -- INDEX 25
137
        B"10101111" when B"0000011010",  -- INDEX 26
138
        B"11011100" when B"0000011011",  -- INDEX 27
139
        B"00001110" when B"0000011100",  -- INDEX 28
140
        B"00111110" when B"0000011101",  -- INDEX 29
141
        B"01100101" when B"0000011110",  -- INDEX 30
142
        B"01111011" when B"0000011111",  -- INDEX 31
143
        B"01111111" when B"0000100000",  -- INDEX 32
144
        B"01101111" when B"0000100001",  -- INDEX 33
145
        B"01001110" when B"0000100010",  -- INDEX 34
146
        B"00100000" when B"0000100011",  -- INDEX 35
147
        B"11101110" when B"0000100100",  -- INDEX 36
148
        B"10111110" when B"0000100101",  -- INDEX 37
149
        B"10011001" when B"0000100110",  -- INDEX 38
150
        B"10000100" when B"0000100111",  -- INDEX 39
151
        B"10000010" when B"0000101000",  -- INDEX 40
152
        B"10010011" when B"0000101001",  -- INDEX 41
153
        B"10110110" when B"0000101010",  -- INDEX 42
154
        B"11100100" when B"0000101011",  -- INDEX 43
155
        B"00010110" when B"0000101100",  -- INDEX 44
156
        B"01000101" when B"0000101101",  -- INDEX 45
157
        B"01101001" when B"0000101110",  -- INDEX 46
158
        B"01111101" when B"0000101111",  -- INDEX 47
159
        B"01111110" when B"0000110000",  -- INDEX 48
160
        B"01101011" when B"0000110001",  -- INDEX 49
161
        B"01000111" when B"0000110010",  -- INDEX 50
162
        B"00011000" when B"0000110011",  -- INDEX 51
163
        B"11100110" when B"0000110100",  -- INDEX 52
164
        B"10111000" when B"0000110101",  -- INDEX 53
165
        B"10010100" when B"0000110110",  -- INDEX 54
166
        B"10000010" when B"0000110111",  -- INDEX 55
167
        B"10000011" when B"0000111000",  -- INDEX 56
168
        B"10011000" when B"0000111001",  -- INDEX 57
169
        B"10111100" when B"0000111010",  -- INDEX 58
170
        B"11101011" when B"0000111011",  -- INDEX 59
171
        B"00011110" when B"0000111100",  -- INDEX 60
172
        B"01001100" when B"0000111101",  -- INDEX 61
173
        B"01101110" when B"0000111110",  -- INDEX 62
174
        B"01111111" when B"0000111111",  -- INDEX 63
175
        B"01111100" when B"0001000000",  -- INDEX 64
176
        B"01100110" when B"0001000001",  -- INDEX 65
177
        B"01000000" when B"0001000010",  -- INDEX 66
178
        B"00010001" when B"0001000011",  -- INDEX 67
179
        B"11011110" when B"0001000100",  -- INDEX 68
180
        B"10110001" when B"0001000101",  -- INDEX 69
181
        B"10010000" when B"0001000110",  -- INDEX 70
182
        B"10000001" when B"0001000111",  -- INDEX 71
183
        B"10000101" when B"0001001000",  -- INDEX 72
184
        B"10011100" when B"0001001001",  -- INDEX 73
185
        B"11000011" when B"0001001010",  -- INDEX 74
186
        B"11110011" when B"0001001011",  -- INDEX 75
187
        B"00100110" when B"0001001100",  -- INDEX 76
188
        B"01010010" when B"0001001101",  -- INDEX 77
189
        B"01110010" when B"0001001110",  -- INDEX 78
190
        B"01111111" when B"0001001111",  -- INDEX 79
191
        B"01111010" when B"0001010000",  -- INDEX 80
192
        B"01100001" when B"0001010001",  -- INDEX 81
193
        B"00111001" when B"0001010010",  -- INDEX 82
194
        B"00001001" when B"0001010011",  -- INDEX 83
195
        B"11010111" when B"0001010100",  -- INDEX 84
196
        B"10101011" when B"0001010101",  -- INDEX 85
197
        B"10001101" when B"0001010110",  -- INDEX 86
198
        B"10000000" when B"0001010111",  -- INDEX 87
199
        B"10001000" when B"0001011000",  -- INDEX 88
200
        B"10100010" when B"0001011001",  -- INDEX 89
201
        B"11001010" when B"0001011010",  -- INDEX 90
202
        B"11111011" when B"0001011011",  -- INDEX 91
203
        B"00101101" when B"0001011100",  -- INDEX 92
204
        B"01011000" when B"0001011101",  -- INDEX 93
205
        B"01110101" when B"0001011110",  -- INDEX 94
206
        B"01111111" when B"0001011111",  -- INDEX 95
207
        B"01110111" when B"0001100000",  -- INDEX 96
208
        B"01011100" when B"0001100001",  -- INDEX 97
209
        B"00110010" when B"0001100010",  -- INDEX 98
210
        B"00000001" when B"0001100011",  -- INDEX 99
211
        B"11001111" when B"0001100100",  -- INDEX 100
212
        B"10100101" when B"0001100101",  -- INDEX 101
213
        B"10001001" when B"0001100110",  -- INDEX 102
214
        B"10000000" when B"0001100111",  -- INDEX 103
215
        B"10001011" when B"0001101000",  -- INDEX 104
216
        B"10100111" when B"0001101001",  -- INDEX 105
217
        B"11010010" when B"0001101010",  -- INDEX 106
218
        B"00000100" when B"0001101011",  -- INDEX 107
219
        B"00110101" when B"0001101100",  -- INDEX 108
220
        B"01011110" when B"0001101101",  -- INDEX 109
221
        B"01111000" when B"0001101110",  -- INDEX 110
222
        B"01111111" when B"0001101111",  -- INDEX 111
223
        B"01110100" when B"0001110000",  -- INDEX 112
224
        B"01010110" when B"0001110001",  -- INDEX 113
225
        B"00101010" when B"0001110010",  -- INDEX 114
226
        B"11111000" when B"0001110011",  -- INDEX 115
227
        B"11001000" when B"0001110100",  -- INDEX 116
228
        B"10100000" when B"0001110101",  -- INDEX 117
229
        B"10000111" when B"0001110110",  -- INDEX 118
230
        B"10000000" when B"0001110111",  -- INDEX 119
231
        B"10001110" when B"0001111000",  -- INDEX 120
232
        B"10101101" when B"0001111001",  -- INDEX 121
233
        B"11011001" when B"0001111010",  -- INDEX 122
234
        B"00001100" when B"0001111011",  -- INDEX 123
235
        B"00111100" when B"0001111100",  -- INDEX 124
236
        B"01100011" when B"0001111101",  -- INDEX 125
237
        B"01111011" when B"0001111110",  -- INDEX 126
238
        B"01111111" when B"0001111111",  -- INDEX 127
239
        B"01110000" when B"0010000000",  -- INDEX 128
240
        B"01010000" when B"0010000001",  -- INDEX 129
241
        B"00100011" when B"0010000010",  -- INDEX 130
242
        B"11110000" when B"0010000011",  -- INDEX 131
243
        B"11000001" when B"0010000100",  -- INDEX 132
244
        B"10011011" when B"0010000101",  -- INDEX 133
245
        B"10000100" when B"0010000110",  -- INDEX 134
246
        B"10000001" when B"0010000111",  -- INDEX 135
247
        B"10010010" when B"0010001000",  -- INDEX 136
248
        B"10110100" when B"0010001001",  -- INDEX 137
249
        B"11100001" when B"0010001010",  -- INDEX 138
250
        B"00010100" when B"0010001011",  -- INDEX 139
251
        B"01000011" when B"0010001100",  -- INDEX 140
252
        B"01101000" when B"0010001101",  -- INDEX 141
253
        B"01111101" when B"0010001110",  -- INDEX 142
254
        B"01111110" when B"0010001111",  -- INDEX 143
255
        B"01101100" when B"0010010000",  -- INDEX 144
256
        B"01001001" when B"0010010001",  -- INDEX 145
257
        B"00011011" when B"0010010010",  -- INDEX 146
258
        B"11101001" when B"0010010011",  -- INDEX 147
259
        B"10111010" when B"0010010100",  -- INDEX 148
260
        B"10010110" when B"0010010101",  -- INDEX 149
261
        B"10000010" when B"0010010110",  -- INDEX 150
262
        B"10000011" when B"0010010111",  -- INDEX 151
263
        B"10010110" when B"0010011000",  -- INDEX 152
264
        B"10111010" when B"0010011001",  -- INDEX 153
265
        B"11101001" when B"0010011010",  -- INDEX 154
266
        B"00011011" when B"0010011011",  -- INDEX 155
267
        B"01001010" when B"0010011100",  -- INDEX 156
268
        B"01101100" when B"0010011101",  -- INDEX 157
269
        B"01111110" when B"0010011110",  -- INDEX 158
270
        B"01111101" when B"0010011111",  -- INDEX 159
271
        B"01101000" when B"0010100000",  -- INDEX 160
272
        B"01000010" when B"0010100001",  -- INDEX 161
273
        B"00010011" when B"0010100010",  -- INDEX 162
274
        B"11100001" when B"0010100011",  -- INDEX 163
275
        B"10110011" when B"0010100100",  -- INDEX 164
276
        B"10010010" when B"0010100101",  -- INDEX 165
277
        B"10000001" when B"0010100110",  -- INDEX 166
278
        B"10000100" when B"0010100111",  -- INDEX 167
279
        B"10011011" when B"0010101000",  -- INDEX 168
280
        B"11000001" when B"0010101001",  -- INDEX 169
281
        B"11110001" when B"0010101010",  -- INDEX 170
282
        B"00100011" when B"0010101011",  -- INDEX 171
283
        B"01010000" when B"0010101100",  -- INDEX 172
284
        B"01110000" when B"0010101101",  -- INDEX 173
285
        B"01111111" when B"0010101110",  -- INDEX 174
286
        B"01111010" when B"0010101111",  -- INDEX 175
287
        B"01100011" when B"0010110000",  -- INDEX 176
288
        B"00111011" when B"0010110001",  -- INDEX 177
289
        B"00001011" when B"0010110010",  -- INDEX 178
290
        B"11011001" when B"0010110011",  -- INDEX 179
291
        B"10101101" when B"0010110100",  -- INDEX 180
292
        B"10001110" when B"0010110101",  -- INDEX 181
293
        B"10000000" when B"0010110110",  -- INDEX 182
294
        B"10000111" when B"0010110111",  -- INDEX 183
295
        B"10100000" when B"0010111000",  -- INDEX 184
296
        B"11001000" when B"0010111001",  -- INDEX 185
297
        B"11111001" when B"0010111010",  -- INDEX 186
298
        B"00101011" when B"0010111011",  -- INDEX 187
299
        B"01010110" when B"0010111100",  -- INDEX 188
300
        B"01110100" when B"0010111101",  -- INDEX 189
301
        B"01111111" when B"0010111110",  -- INDEX 190
302
        B"01111000" when B"0010111111",  -- INDEX 191
303
        B"01011101" when B"0011000000",  -- INDEX 192
304
        B"00110100" when B"0011000001",  -- INDEX 193
305
        B"00000011" when B"0011000010",  -- INDEX 194
306
        B"11010001" when B"0011000011",  -- INDEX 195
307
        B"10100111" when B"0011000100",  -- INDEX 196
308
        B"10001010" when B"0011000101",  -- INDEX 197
309
        B"10000000" when B"0011000110",  -- INDEX 198
310
        B"10001010" when B"0011000111",  -- INDEX 199
311
        B"10100101" when B"0011001000",  -- INDEX 200
312
        B"11001111" when B"0011001001",  -- INDEX 201
313
        B"11111111" when B"0011001010",  -- INDEX 202
314
        B"00110000" when B"0011001011",  -- INDEX 203
315
        B"01011001" when B"0011001100",  -- INDEX 204
316
        B"01110101" when B"0011001101",  -- INDEX 205
317
        B"01111111" when B"0011001110",  -- INDEX 206
318
        B"01111000" when B"0011001111",  -- INDEX 207
319
        B"01011101" when B"0011010000",  -- INDEX 208
320
        B"00110101" when B"0011010001",  -- INDEX 209
321
        B"00000101" when B"0011010010",  -- INDEX 210
322
        B"11010100" when B"0011010011",  -- INDEX 211
323
        B"10101010" when B"0011010100",  -- INDEX 212
324
        B"10001100" when B"0011010101",  -- INDEX 213
325
        B"10000000" when B"0011010110",  -- INDEX 214
326
        B"10000111" when B"0011010111",  -- INDEX 215
327
        B"10100000" when B"0011011000",  -- INDEX 216
328
        B"11000111" when B"0011011001",  -- INDEX 217
329
        B"11110111" when B"0011011010",  -- INDEX 218
330
        B"00101000" when B"0011011011",  -- INDEX 219
331
        B"01010011" when B"0011011100",  -- INDEX 220
332
        B"01110010" when B"0011011101",  -- INDEX 221
333
        B"01111111" when B"0011011110",  -- INDEX 222
334
        B"01111010" when B"0011011111",  -- INDEX 223
335
        B"01100011" when B"0011100000",  -- INDEX 224
336
        B"00111100" when B"0011100001",  -- INDEX 225
337
        B"00001101" when B"0011100010",  -- INDEX 226
338
        B"11011100" when B"0011100011",  -- INDEX 227
339
        B"10110000" when B"0011100100",  -- INDEX 228
340
        B"10010000" when B"0011100101",  -- INDEX 229
341
        B"10000001" when B"0011100110",  -- INDEX 230
342
        B"10000101" when B"0011100111",  -- INDEX 231
343
        B"10011011" when B"0011101000",  -- INDEX 232
344
        B"11000000" when B"0011101001",  -- INDEX 233
345
        B"11101111" when B"0011101010",  -- INDEX 234
346
        B"00100000" when B"0011101011",  -- INDEX 235
347
        B"01001101" when B"0011101100",  -- INDEX 236
348
        B"01101110" when B"0011101101",  -- INDEX 237
349
        B"01111111" when B"0011101110",  -- INDEX 238
350
        B"01111100" when B"0011101111",  -- INDEX 239
351
        B"01101000" when B"0011110000",  -- INDEX 240
352
        B"01000011" when B"0011110001",  -- INDEX 241
353
        B"00010101" when B"0011110010",  -- INDEX 242
354
        B"11100100" when B"0011110011",  -- INDEX 243
355
        B"10110110" when B"0011110100",  -- INDEX 244
356
        B"10010100" when B"0011110101",  -- INDEX 245
357
        B"10000010" when B"0011110110",  -- INDEX 246
358
        B"10000011" when B"0011110111",  -- INDEX 247
359
        B"10010110" when B"0011111000",  -- INDEX 248
360
        B"10111001" when B"0011111001",  -- INDEX 249
361
        B"11100111" when B"0011111010",  -- INDEX 250
362
        B"00011000" when B"0011111011",  -- INDEX 251
363
        B"01000110" when B"0011111100",  -- INDEX 252
364
        B"01101010" when B"0011111101",  -- INDEX 253
365
        B"01111101" when B"0011111110",  -- INDEX 254
366
        B"01111110" when B"0011111111",  -- INDEX 255
367
        B"01101100" when B"0100000000",  -- INDEX 256
368
        B"01001010" when B"0100000001",  -- INDEX 257
369
        B"00011101" when B"0100000010",  -- INDEX 258
370
        B"11101011" when B"0100000011",  -- INDEX 259
371
        B"10111101" when B"0100000100",  -- INDEX 260
372
        B"10011001" when B"0100000101",  -- INDEX 261
373
        B"10000100" when B"0100000110",  -- INDEX 262
374
        B"10000001" when B"0100000111",  -- INDEX 263
375
        B"10010010" when B"0100001000",  -- INDEX 264
376
        B"10110011" when B"0100001001",  -- INDEX 265
377
        B"11011111" when B"0100001010",  -- INDEX 266
378
        B"00010001" when B"0100001011",  -- INDEX 267
379
        B"00111111" when B"0100001100",  -- INDEX 268
380
        B"01100101" when B"0100001101",  -- INDEX 269
381
        B"01111011" when B"0100001110",  -- INDEX 270
382
        B"01111111" when B"0100001111",  -- INDEX 271
383
        B"01110000" when B"0100010000",  -- INDEX 272
384
        B"01010000" when B"0100010001",  -- INDEX 273
385
        B"00100101" when B"0100010010",  -- INDEX 274
386
        B"11110011" when B"0100010011",  -- INDEX 275
387
        B"11000100" when B"0100010100",  -- INDEX 276
388
        B"10011110" when B"0100010101",  -- INDEX 277
389
        B"10000110" when B"0100010110",  -- INDEX 278
390
        B"10000000" when B"0100010111",  -- INDEX 279
391
        B"10001110" when B"0100011000",  -- INDEX 280
392
        B"10101100" when B"0100011001",  -- INDEX 281
393
        B"11010111" when B"0100011010",  -- INDEX 282
394
        B"00001001" when B"0100011011",  -- INDEX 283
395
        B"00111000" when B"0100011100",  -- INDEX 284
396
        B"01100000" when B"0100011101",  -- INDEX 285
397
        B"01111001" when B"0100011110",  -- INDEX 286
398
        B"01111111" when B"0100011111",  -- INDEX 287
399
        B"01110100" when B"0100100000",  -- INDEX 288
400
        B"01010111" when B"0100100001",  -- INDEX 289
401
        B"00101100" when B"0100100010",  -- INDEX 290
402
        B"11111011" when B"0100100011",  -- INDEX 291
403
        B"11001011" when B"0100100100",  -- INDEX 292
404
        B"10100011" when B"0100100101",  -- INDEX 293
405
        B"10001001" when B"0100100110",  -- INDEX 294
406
        B"10000000" when B"0100100111",  -- INDEX 295
407
        B"10001011" when B"0100101000",  -- INDEX 296
408
        B"10100111" when B"0100101001",  -- INDEX 297
409
        B"11010000" when B"0100101010",  -- INDEX 298
410
        B"00000001" when B"0100101011",  -- INDEX 299
411
        B"00110001" when B"0100101100",  -- INDEX 300
412
        B"01011010" when B"0100101101",  -- INDEX 301
413
        B"01110110" when B"0100101110",  -- INDEX 302
414
        B"01111111" when B"0100101111",  -- INDEX 303
415
        B"01110111" when B"0100110000",  -- INDEX 304
416
        B"01011100" when B"0100110001",  -- INDEX 305
417
        B"00110100" when B"0100110010",  -- INDEX 306
418
        B"00000100" when B"0100110011",  -- INDEX 307
419
        B"11010011" when B"0100110100",  -- INDEX 308
420
        B"10101001" when B"0100110101",  -- INDEX 309
421
        B"10001100" when B"0100110110",  -- INDEX 310
422
        B"10000000" when B"0100110111",  -- INDEX 311
423
        B"10001000" when B"0100111000",  -- INDEX 312
424
        B"10100001" when B"0100111001",  -- INDEX 313
425
        B"11001001" when B"0100111010",  -- INDEX 314
426
        B"11111000" when B"0100111011",  -- INDEX 315
427
        B"00101001" when B"0100111100",  -- INDEX 316
428
        B"01010100" when B"0100111101",  -- INDEX 317
429
        B"01110011" when B"0100111110",  -- INDEX 318
430
        B"01111111" when B"0100111111",  -- INDEX 319
431
        B"01111010" when B"0101000000",  -- INDEX 320
432
        B"01100010" when B"0101000001",  -- INDEX 321
433
        B"00111011" when B"0101000010",  -- INDEX 322
434
        B"00001100" when B"0101000011",  -- INDEX 323
435
        B"11011010" when B"0101000100",  -- INDEX 324
436
        B"10101111" when B"0101000101",  -- INDEX 325
437
        B"10001111" when B"0101000110",  -- INDEX 326
438
        B"10000001" when B"0101000111",  -- INDEX 327
439
        B"10000101" when B"0101001000",  -- INDEX 328
440
        B"10011100" when B"0101001001",  -- INDEX 329
441
        B"11000001" when B"0101001010",  -- INDEX 330
442
        B"11110000" when B"0101001011",  -- INDEX 331
443
        B"00100010" when B"0101001100",  -- INDEX 332
444
        B"01001110" when B"0101001101",  -- INDEX 333
445
        B"01101111" when B"0101001110",  -- INDEX 334
446
        B"01111111" when B"0101001111",  -- INDEX 335
447
        B"01111100" when B"0101010000",  -- INDEX 336
448
        B"01100111" when B"0101010001",  -- INDEX 337
449
        B"01000010" when B"0101010010",  -- INDEX 338
450
        B"00010100" when B"0101010011",  -- INDEX 339
451
        B"11100010" when B"0101010100",  -- INDEX 340
452
        B"10110101" when B"0101010101",  -- INDEX 341
453
        B"10010011" when B"0101010110",  -- INDEX 342
454
        B"10000010" when B"0101010111",  -- INDEX 343
455
        B"10000011" when B"0101011000",  -- INDEX 344
456
        B"10010111" when B"0101011001",  -- INDEX 345
457
        B"10111011" when B"0101011010",  -- INDEX 346
458
        B"11101001" when B"0101011011",  -- INDEX 347
459
        B"00011010" when B"0101011100",  -- INDEX 348
460
        B"01001000" when B"0101011101",  -- INDEX 349
461
        B"01101010" when B"0101011110",  -- INDEX 350
462
        B"01111101" when B"0101011111",  -- INDEX 351
463
        B"01111110" when B"0101100000",  -- INDEX 352
464
        B"01101011" when B"0101100001",  -- INDEX 353
465
        B"01001001" when B"0101100010",  -- INDEX 354
466
        B"00011011" when B"0101100011",  -- INDEX 355
467
        B"11101010" when B"0101100100",  -- INDEX 356
468
        B"10111100" when B"0101100101",  -- INDEX 357
469
        B"10011000" when B"0101100110",  -- INDEX 358
470
        B"10000011" when B"0101100111",  -- INDEX 359
471
        B"10000010" when B"0101101000",  -- INDEX 360
472
        B"10010011" when B"0101101001",  -- INDEX 361
473
        B"10110100" when B"0101101010",  -- INDEX 362
474
        B"11100001" when B"0101101011",  -- INDEX 363
475
        B"00010010" when B"0101101100",  -- INDEX 364
476
        B"01000001" when B"0101101101",  -- INDEX 365
477
        B"01100110" when B"0101101110",  -- INDEX 366
478
        B"01111100" when B"0101101111",  -- INDEX 367
479
        B"01111111" when B"0101110000",  -- INDEX 368
480
        B"01101111" when B"0101110001",  -- INDEX 369
481
        B"01001111" when B"0101110010",  -- INDEX 370
482
        B"00100011" when B"0101110011",  -- INDEX 371
483
        B"11110010" when B"0101110100",  -- INDEX 372
484
        B"11000011" when B"0101110101",  -- INDEX 373
485
        B"10011101" when B"0101110110",  -- INDEX 374
486
        B"10000110" when B"0101110111",  -- INDEX 375
487
        B"10000001" when B"0101111000",  -- INDEX 376
488
        B"10001111" when B"0101111001",  -- INDEX 377
489
        B"10101110" when B"0101111010",  -- INDEX 378
490
        B"11011001" when B"0101111011",  -- INDEX 379
491
        B"00001010" when B"0101111100",  -- INDEX 380
492
        B"00111010" when B"0101111101",  -- INDEX 381
493
        B"01100001" when B"0101111110",  -- INDEX 382
494
        B"01111001" when B"0101111111",  -- INDEX 383
495
        B"01111111" when B"0110000000",  -- INDEX 384
496
        B"01110011" when B"0110000001",  -- INDEX 385
497
        B"01010101" when B"0110000010",  -- INDEX 386
498
        B"00101011" when B"0110000011",  -- INDEX 387
499
        B"11111010" when B"0110000100",  -- INDEX 388
500
        B"11001010" when B"0110000101",  -- INDEX 389
501
        B"10100010" when B"0110000110",  -- INDEX 390
502
        B"10001000" when B"0110000111",  -- INDEX 391
503
        B"10000000" when B"0110001000",  -- INDEX 392
504
        B"10001011" when B"0110001001",  -- INDEX 393
505
        B"10101000" when B"0110001010",  -- INDEX 394
506
        B"11010001" when B"0110001011",  -- INDEX 395
507
        B"00000010" when B"0110001100",  -- INDEX 396
508
        B"00110010" when B"0110001101",  -- INDEX 397
509
        B"01011011" when B"0110001110",  -- INDEX 398
510
        B"01110110" when B"0110001111",  -- INDEX 399
511
        B"01111111" when B"0110010000",  -- INDEX 400
512
        B"01110110" when B"0110010001",  -- INDEX 401
513
        B"01011010" when B"0110010010",  -- INDEX 402
514
        B"00110000" when B"0110010011",  -- INDEX 403
515
        B"11111110" when B"0110010100",  -- INDEX 404
516
        B"11001101" when B"0110010101",  -- INDEX 405
517
        B"10100011" when B"0110010110",  -- INDEX 406
518
        B"10001000" when B"0110010111",  -- INDEX 407
519
        B"10000000" when B"0110011000",  -- INDEX 408
520
        B"10001100" when B"0110011001",  -- INDEX 409
521
        B"10101001" when B"0110011010",  -- INDEX 410
522
        B"11010100" when B"0110011011",  -- INDEX 411
523
        B"00000110" when B"0110011100",  -- INDEX 412
524
        B"00110111" when B"0110011101",  -- INDEX 413
525
        B"01011111" when B"0110011110",  -- INDEX 414
526
        B"01111001" when B"0110011111",  -- INDEX 415
527
        B"01111111" when B"0110100000",  -- INDEX 416
528
        B"01110011" when B"0110100001",  -- INDEX 417
529
        B"01010100" when B"0110100010",  -- INDEX 418
530
        B"00101000" when B"0110100011",  -- INDEX 419
531
        B"11110110" when B"0110100100",  -- INDEX 420
532
        B"11000101" when B"0110100101",  -- INDEX 421
533
        B"10011110" when B"0110100110",  -- INDEX 422
534
        B"10000110" when B"0110100111",  -- INDEX 423
535
        B"10000001" when B"0110101000",  -- INDEX 424
536
        B"10001111" when B"0110101001",  -- INDEX 425
537
        B"10101111" when B"0110101010",  -- INDEX 426
538
        B"11011100" when B"0110101011",  -- INDEX 427
539
        B"00001110" when B"0110101100",  -- INDEX 428
540
        B"00111110" when B"0110101101",  -- INDEX 429
541
        B"01100101" when B"0110101110",  -- INDEX 430
542
        B"01111011" when B"0110101111",  -- INDEX 431
543
        B"01111111" when B"0110110000",  -- INDEX 432
544
        B"01101111" when B"0110110001",  -- INDEX 433
545
        B"01001110" when B"0110110010",  -- INDEX 434
546
        B"00100000" when B"0110110011",  -- INDEX 435
547
        B"11101110" when B"0110110100",  -- INDEX 436
548
        B"10111110" when B"0110110101",  -- INDEX 437
549
        B"10011001" when B"0110110110",  -- INDEX 438
550
        B"10000100" when B"0110110111",  -- INDEX 439
551
        B"10000010" when B"0110111000",  -- INDEX 440
552
        B"10010011" when B"0110111001",  -- INDEX 441
553
        B"10110110" when B"0110111010",  -- INDEX 442
554
        B"11100100" when B"0110111011",  -- INDEX 443
555
        B"00010110" when B"0110111100",  -- INDEX 444
556
        B"01000101" when B"0110111101",  -- INDEX 445
557
        B"01101001" when B"0110111110",  -- INDEX 446
558
        B"01111101" when B"0110111111",  -- INDEX 447
559
        B"01111110" when B"0111000000",  -- INDEX 448
560
        B"01101011" when B"0111000001",  -- INDEX 449
561
        B"01000111" when B"0111000010",  -- INDEX 450
562
        B"00011000" when B"0111000011",  -- INDEX 451
563
        B"11100110" when B"0111000100",  -- INDEX 452
564
        B"10111000" when B"0111000101",  -- INDEX 453
565
        B"10010100" when B"0111000110",  -- INDEX 454
566
        B"10000010" when B"0111000111",  -- INDEX 455
567
        B"10000011" when B"0111001000",  -- INDEX 456
568
        B"10011000" when B"0111001001",  -- INDEX 457
569
        B"10111100" when B"0111001010",  -- INDEX 458
570
        B"11101011" when B"0111001011",  -- INDEX 459
571
        B"00011110" when B"0111001100",  -- INDEX 460
572
        B"01001100" when B"0111001101",  -- INDEX 461
573
        B"01101110" when B"0111001110",  -- INDEX 462
574
        B"01111111" when B"0111001111",  -- INDEX 463
575
        B"01111100" when B"0111010000",  -- INDEX 464
576
        B"01100110" when B"0111010001",  -- INDEX 465
577
        B"01000000" when B"0111010010",  -- INDEX 466
578
        B"00010001" when B"0111010011",  -- INDEX 467
579
        B"11011110" when B"0111010100",  -- INDEX 468
580
        B"10110001" when B"0111010101",  -- INDEX 469
581
        B"10010000" when B"0111010110",  -- INDEX 470
582
        B"10000001" when B"0111010111",  -- INDEX 471
583
        B"10000101" when B"0111011000",  -- INDEX 472
584
        B"10011100" when B"0111011001",  -- INDEX 473
585
        B"11000011" when B"0111011010",  -- INDEX 474
586
        B"11110011" when B"0111011011",  -- INDEX 475
587
        B"00100110" when B"0111011100",  -- INDEX 476
588
        B"01010010" when B"0111011101",  -- INDEX 477
589
        B"01110010" when B"0111011110",  -- INDEX 478
590
        B"01111111" when B"0111011111",  -- INDEX 479
591
        B"01111010" when B"0111100000",  -- INDEX 480
592
        B"01100001" when B"0111100001",  -- INDEX 481
593
        B"00111001" when B"0111100010",  -- INDEX 482
594
        B"00001001" when B"0111100011",  -- INDEX 483
595
        B"11010111" when B"0111100100",  -- INDEX 484
596
        B"10101011" when B"0111100101",  -- INDEX 485
597
        B"10001101" when B"0111100110",  -- INDEX 486
598
        B"10000000" when B"0111100111",  -- INDEX 487
599
        B"10001000" when B"0111101000",  -- INDEX 488
600
        B"10100010" when B"0111101001",  -- INDEX 489
601
        B"11001010" when B"0111101010",  -- INDEX 490
602
        B"11111011" when B"0111101011",  -- INDEX 491
603
        B"00101101" when B"0111101100",  -- INDEX 492
604
        B"01011000" when B"0111101101",  -- INDEX 493
605
        B"01110101" when B"0111101110",  -- INDEX 494
606
        B"01111111" when B"0111101111",  -- INDEX 495
607
        B"01110111" when B"0111110000",  -- INDEX 496
608
        B"01011100" when B"0111110001",  -- INDEX 497
609
        B"00110010" when B"0111110010",  -- INDEX 498
610
        B"00000001" when B"0111110011",  -- INDEX 499
611
        B"11001111" when B"0111110100",  -- INDEX 500
612
        B"10100101" when B"0111110101",  -- INDEX 501
613
        B"10001001" when B"0111110110",  -- INDEX 502
614
        B"10000000" when B"0111110111",  -- INDEX 503
615
        B"10001011" when B"0111111000",  -- INDEX 504
616
        B"10100111" when B"0111111001",  -- INDEX 505
617
        B"11010010" when B"0111111010",  -- INDEX 506
618
        B"00000100" when B"0111111011",  -- INDEX 507
619
        B"00110101" when B"0111111100",  -- INDEX 508
620
        B"01011110" when B"0111111101",  -- INDEX 509
621
        B"01111000" when B"0111111110",  -- INDEX 510
622
        B"01111111" when B"0111111111",  -- INDEX 511
623
        B"01110100" when B"1000000000",  -- INDEX 512
624
        B"01010110" when B"1000000001",  -- INDEX 513
625
        B"00101010" when B"1000000010",  -- INDEX 514
626
        B"11111000" when B"1000000011",  -- INDEX 515
627
        B"11001000" when B"1000000100",  -- INDEX 516
628
        B"10100000" when B"1000000101",  -- INDEX 517
629
        B"10000111" when B"1000000110",  -- INDEX 518
630
        B"10000000" when B"1000000111",  -- INDEX 519
631
        B"10001110" when B"1000001000",  -- INDEX 520
632
        B"10101101" when B"1000001001",  -- INDEX 521
633
        B"11011001" when B"1000001010",  -- INDEX 522
634
        B"00001100" when B"1000001011",  -- INDEX 523
635
        B"00111100" when B"1000001100",  -- INDEX 524
636
        B"01100011" when B"1000001101",  -- INDEX 525
637
        B"01111011" when B"1000001110",  -- INDEX 526
638
        B"01111111" when B"1000001111",  -- INDEX 527
639
        B"01110000" when B"1000010000",  -- INDEX 528
640
        B"01010000" when B"1000010001",  -- INDEX 529
641
        B"00100011" when B"1000010010",  -- INDEX 530
642
        B"11110000" when B"1000010011",  -- INDEX 531
643
        B"11000001" when B"1000010100",  -- INDEX 532
644
        B"10011011" when B"1000010101",  -- INDEX 533
645
        B"10000100" when B"1000010110",  -- INDEX 534
646
        B"10000001" when B"1000010111",  -- INDEX 535
647
        B"10010010" when B"1000011000",  -- INDEX 536
648
        B"10110100" when B"1000011001",  -- INDEX 537
649
        B"11100001" when B"1000011010",  -- INDEX 538
650
        B"00010100" when B"1000011011",  -- INDEX 539
651
        B"01000011" when B"1000011100",  -- INDEX 540
652
        B"01101000" when B"1000011101",  -- INDEX 541
653
        B"01111101" when B"1000011110",  -- INDEX 542
654
        B"01111110" when B"1000011111",  -- INDEX 543
655
        B"01101100" when B"1000100000",  -- INDEX 544
656
        B"01001001" when B"1000100001",  -- INDEX 545
657
        B"00011011" when B"1000100010",  -- INDEX 546
658
        B"11101001" when B"1000100011",  -- INDEX 547
659
        B"10111010" when B"1000100100",  -- INDEX 548
660
        B"10010110" when B"1000100101",  -- INDEX 549
661
        B"10000010" when B"1000100110",  -- INDEX 550
662
        B"10000011" when B"1000100111",  -- INDEX 551
663
        B"10010110" when B"1000101000",  -- INDEX 552
664
        B"10111010" when B"1000101001",  -- INDEX 553
665
        B"11101001" when B"1000101010",  -- INDEX 554
666
        B"00011011" when B"1000101011",  -- INDEX 555
667
        B"01001010" when B"1000101100",  -- INDEX 556
668
        B"01101100" when B"1000101101",  -- INDEX 557
669
        B"01111110" when B"1000101110",  -- INDEX 558
670
        B"01111101" when B"1000101111",  -- INDEX 559
671
        B"01101000" when B"1000110000",  -- INDEX 560
672
        B"01000010" when B"1000110001",  -- INDEX 561
673
        B"00010011" when B"1000110010",  -- INDEX 562
674
        B"11100001" when B"1000110011",  -- INDEX 563
675
        B"10110011" when B"1000110100",  -- INDEX 564
676
        B"10010010" when B"1000110101",  -- INDEX 565
677
        B"10000001" when B"1000110110",  -- INDEX 566
678
        B"10000100" when B"1000110111",  -- INDEX 567
679
        B"10011011" when B"1000111000",  -- INDEX 568
680
        B"11000001" when B"1000111001",  -- INDEX 569
681
        B"11110001" when B"1000111010",  -- INDEX 570
682
        B"00100011" when B"1000111011",  -- INDEX 571
683
        B"01010000" when B"1000111100",  -- INDEX 572
684
        B"01110000" when B"1000111101",  -- INDEX 573
685
        B"01111111" when B"1000111110",  -- INDEX 574
686
        B"01111010" when B"1000111111",  -- INDEX 575
687
        B"01100011" when B"1001000000",  -- INDEX 576
688
        B"00111011" when B"1001000001",  -- INDEX 577
689
        B"00001011" when B"1001000010",  -- INDEX 578
690
        B"11011001" when B"1001000011",  -- INDEX 579
691
        B"10101101" when B"1001000100",  -- INDEX 580
692
        B"10001110" when B"1001000101",  -- INDEX 581
693
        B"10000000" when B"1001000110",  -- INDEX 582
694
        B"10000111" when B"1001000111",  -- INDEX 583
695
        B"10100000" when B"1001001000",  -- INDEX 584
696
        B"11001000" when B"1001001001",  -- INDEX 585
697
        B"11111001" when B"1001001010",  -- INDEX 586
698
        B"00101011" when B"1001001011",  -- INDEX 587
699
        B"01010110" when B"1001001100",  -- INDEX 588
700
        B"01110100" when B"1001001101",  -- INDEX 589
701
        B"01111111" when B"1001001110",  -- INDEX 590
702
        B"01111000" when B"1001001111",  -- INDEX 591
703
        B"01011101" when B"1001010000",  -- INDEX 592
704
        B"00110100" when B"1001010001",  -- INDEX 593
705
        B"00000011" when B"1001010010",  -- INDEX 594
706
        B"11010001" when B"1001010011",  -- INDEX 595
707
        B"10100111" when B"1001010100",  -- INDEX 596
708
        B"10001010" when B"1001010101",  -- INDEX 597
709
        B"10000000" when B"1001010110",  -- INDEX 598
710
        B"10001010" when B"1001010111",  -- INDEX 599
711
        B"10100101" when B"1001011000",  -- INDEX 600
712
        B"11001111" when B"1001011001",  -- INDEX 601
713
        B"11111111" when B"1001011010",  -- INDEX 602
714
        B"00110000" when B"1001011011",  -- INDEX 603
715
        B"01011001" when B"1001011100",  -- INDEX 604
716
        B"01110101" when B"1001011101",  -- INDEX 605
717
        B"01111111" when B"1001011110",  -- INDEX 606
718
        B"01111000" when B"1001011111",  -- INDEX 607
719
        B"01011101" when B"1001100000",  -- INDEX 608
720
        B"00110101" when B"1001100001",  -- INDEX 609
721
        B"00000101" when B"1001100010",  -- INDEX 610
722
        B"11010100" when B"1001100011",  -- INDEX 611
723
        B"10101010" when B"1001100100",  -- INDEX 612
724
        B"10001100" when B"1001100101",  -- INDEX 613
725
        B"10000000" when B"1001100110",  -- INDEX 614
726
        B"10000111" when B"1001100111",  -- INDEX 615
727
        B"10100000" when B"1001101000",  -- INDEX 616
728
        B"11000111" when B"1001101001",  -- INDEX 617
729
        B"11110111" when B"1001101010",  -- INDEX 618
730
        B"00101000" when B"1001101011",  -- INDEX 619
731
        B"01010011" when B"1001101100",  -- INDEX 620
732
        B"01110010" when B"1001101101",  -- INDEX 621
733
        B"01111111" when B"1001101110",  -- INDEX 622
734
        B"01111010" when B"1001101111",  -- INDEX 623
735
        B"01100011" when B"1001110000",  -- INDEX 624
736
        B"00111100" when B"1001110001",  -- INDEX 625
737
        B"00001101" when B"1001110010",  -- INDEX 626
738
        B"11011100" when B"1001110011",  -- INDEX 627
739
        B"10110000" when B"1001110100",  -- INDEX 628
740
        B"10010000" when B"1001110101",  -- INDEX 629
741
        B"10000001" when B"1001110110",  -- INDEX 630
742
        B"10000101" when B"1001110111",  -- INDEX 631
743
        B"10011011" when B"1001111000",  -- INDEX 632
744
        B"11000000" when B"1001111001",  -- INDEX 633
745
        B"11101111" when B"1001111010",  -- INDEX 634
746
        B"00100000" when B"1001111011",  -- INDEX 635
747
        B"01001101" when B"1001111100",  -- INDEX 636
748
        B"01101110" when B"1001111101",  -- INDEX 637
749
        B"01111111" when B"1001111110",  -- INDEX 638
750
        B"01111100" when B"1001111111",  -- INDEX 639
751
        B"01101000" when B"1010000000",  -- INDEX 640
752
        B"01000011" when B"1010000001",  -- INDEX 641
753
        B"00010101" when B"1010000010",  -- INDEX 642
754
        B"11100100" when B"1010000011",  -- INDEX 643
755
        B"10110110" when B"1010000100",  -- INDEX 644
756
        B"10010100" when B"1010000101",  -- INDEX 645
757
        B"10000010" when B"1010000110",  -- INDEX 646
758
        B"10000011" when B"1010000111",  -- INDEX 647
759
        B"10010110" when B"1010001000",  -- INDEX 648
760
        B"10111001" when B"1010001001",  -- INDEX 649
761
        B"11100111" when B"1010001010",  -- INDEX 650
762
        B"00011000" when B"1010001011",  -- INDEX 651
763
        B"01000110" when B"1010001100",  -- INDEX 652
764
        B"01101010" when B"1010001101",  -- INDEX 653
765
        B"01111101" when B"1010001110",  -- INDEX 654
766
        B"01111110" when B"1010001111",  -- INDEX 655
767
        B"01101100" when B"1010010000",  -- INDEX 656
768
        B"01001010" when B"1010010001",  -- INDEX 657
769
        B"00011101" when B"1010010010",  -- INDEX 658
770
        B"11101011" when B"1010010011",  -- INDEX 659
771
        B"10111101" when B"1010010100",  -- INDEX 660
772
        B"10011001" when B"1010010101",  -- INDEX 661
773
        B"10000100" when B"1010010110",  -- INDEX 662
774
        B"10000001" when B"1010010111",  -- INDEX 663
775
        B"10010010" when B"1010011000",  -- INDEX 664
776
        B"10110011" when B"1010011001",  -- INDEX 665
777
        B"11011111" when B"1010011010",  -- INDEX 666
778
        B"00010001" when B"1010011011",  -- INDEX 667
779
        B"00111111" when B"1010011100",  -- INDEX 668
780
        B"01100101" when B"1010011101",  -- INDEX 669
781
        B"01111011" when B"1010011110",  -- INDEX 670
782
        B"01111111" when B"1010011111",  -- INDEX 671
783
        B"01110000" when B"1010100000",  -- INDEX 672
784
        B"01010000" when B"1010100001",  -- INDEX 673
785
        B"00100101" when B"1010100010",  -- INDEX 674
786
        B"11110011" when B"1010100011",  -- INDEX 675
787
        B"11000100" when B"1010100100",  -- INDEX 676
788
        B"10011110" when B"1010100101",  -- INDEX 677
789
        B"10000110" when B"1010100110",  -- INDEX 678
790
        B"10000000" when B"1010100111",  -- INDEX 679
791
        B"10001110" when B"1010101000",  -- INDEX 680
792
        B"10101100" when B"1010101001",  -- INDEX 681
793
        B"11010111" when B"1010101010",  -- INDEX 682
794
        B"00001001" when B"1010101011",  -- INDEX 683
795
        B"00111000" when B"1010101100",  -- INDEX 684
796
        B"01100000" when B"1010101101",  -- INDEX 685
797
        B"01111001" when B"1010101110",  -- INDEX 686
798
        B"01111111" when B"1010101111",  -- INDEX 687
799
        B"01110100" when B"1010110000",  -- INDEX 688
800
        B"01010111" when B"1010110001",  -- INDEX 689
801
        B"00101100" when B"1010110010",  -- INDEX 690
802
        B"11111011" when B"1010110011",  -- INDEX 691
803
        B"11001011" when B"1010110100",  -- INDEX 692
804
        B"10100011" when B"1010110101",  -- INDEX 693
805
        B"10001001" when B"1010110110",  -- INDEX 694
806
        B"10000000" when B"1010110111",  -- INDEX 695
807
        B"10001011" when B"1010111000",  -- INDEX 696
808
        B"10100111" when B"1010111001",  -- INDEX 697
809
        B"11010000" when B"1010111010",  -- INDEX 698
810
        B"00000001" when B"1010111011",  -- INDEX 699
811
        B"00110001" when B"1010111100",  -- INDEX 700
812
        B"01011010" when B"1010111101",  -- INDEX 701
813
        B"01110110" when B"1010111110",  -- INDEX 702
814
        B"01111111" when B"1010111111",  -- INDEX 703
815
        B"01110111" when B"1011000000",  -- INDEX 704
816
        B"01011100" when B"1011000001",  -- INDEX 705
817
        B"00110100" when B"1011000010",  -- INDEX 706
818
        B"00000100" when B"1011000011",  -- INDEX 707
819
        B"11010011" when B"1011000100",  -- INDEX 708
820
        B"10101001" when B"1011000101",  -- INDEX 709
821
        B"10001100" when B"1011000110",  -- INDEX 710
822
        B"10000000" when B"1011000111",  -- INDEX 711
823
        B"10001000" when B"1011001000",  -- INDEX 712
824
        B"10100001" when B"1011001001",  -- INDEX 713
825
        B"11001001" when B"1011001010",  -- INDEX 714
826
        B"11111000" when B"1011001011",  -- INDEX 715
827
        B"00101001" when B"1011001100",  -- INDEX 716
828
        B"01010100" when B"1011001101",  -- INDEX 717
829
        B"01110011" when B"1011001110",  -- INDEX 718
830
        B"01111111" when B"1011001111",  -- INDEX 719
831
        B"01111010" when B"1011010000",  -- INDEX 720
832
        B"01100010" when B"1011010001",  -- INDEX 721
833
        B"00111011" when B"1011010010",  -- INDEX 722
834
        B"00001100" when B"1011010011",  -- INDEX 723
835
        B"11011010" when B"1011010100",  -- INDEX 724
836
        B"10101111" when B"1011010101",  -- INDEX 725
837
        B"10001111" when B"1011010110",  -- INDEX 726
838
        B"10000001" when B"1011010111",  -- INDEX 727
839
        B"10000101" when B"1011011000",  -- INDEX 728
840
        B"10011100" when B"1011011001",  -- INDEX 729
841
        B"11000001" when B"1011011010",  -- INDEX 730
842
        B"11110000" when B"1011011011",  -- INDEX 731
843
        B"00100010" when B"1011011100",  -- INDEX 732
844
        B"01001110" when B"1011011101",  -- INDEX 733
845
        B"01101111" when B"1011011110",  -- INDEX 734
846
        B"01111111" when B"1011011111",  -- INDEX 735
847
        B"01111100" when B"1011100000",  -- INDEX 736
848
        B"01100111" when B"1011100001",  -- INDEX 737
849
        B"01000010" when B"1011100010",  -- INDEX 738
850
        B"00010100" when B"1011100011",  -- INDEX 739
851
        B"11100010" when B"1011100100",  -- INDEX 740
852
        B"10110101" when B"1011100101",  -- INDEX 741
853
        B"10010011" when B"1011100110",  -- INDEX 742
854
        B"10000010" when B"1011100111",  -- INDEX 743
855
        B"10000011" when B"1011101000",  -- INDEX 744
856
        B"10010111" when B"1011101001",  -- INDEX 745
857
        B"10111011" when B"1011101010",  -- INDEX 746
858
        B"11101001" when B"1011101011",  -- INDEX 747
859
        B"00011010" when B"1011101100",  -- INDEX 748
860
        B"01001000" when B"1011101101",  -- INDEX 749
861
        B"01101010" when B"1011101110",  -- INDEX 750
862
        B"01111101" when B"1011101111",  -- INDEX 751
863
        B"01111110" when B"1011110000",  -- INDEX 752
864
        B"01101011" when B"1011110001",  -- INDEX 753
865
        B"01001001" when B"1011110010",  -- INDEX 754
866
        B"00011011" when B"1011110011",  -- INDEX 755
867
        B"11101010" when B"1011110100",  -- INDEX 756
868
        B"10111100" when B"1011110101",  -- INDEX 757
869
        B"10011000" when B"1011110110",  -- INDEX 758
870
        B"10000011" when B"1011110111",  -- INDEX 759
871
        B"10000010" when B"1011111000",  -- INDEX 760
872
        B"10010011" when B"1011111001",  -- INDEX 761
873
        B"10110100" when B"1011111010",  -- INDEX 762
874
        B"11100001" when B"1011111011",  -- INDEX 763
875
        B"00010010" when B"1011111100",  -- INDEX 764
876
        B"01000001" when B"1011111101",  -- INDEX 765
877
        B"01100110" when B"1011111110",  -- INDEX 766
878
        B"01111100" when B"1011111111",  -- INDEX 767
879
        B"01111111" when B"1100000000",  -- INDEX 768
880
        B"01101111" when B"1100000001",  -- INDEX 769
881
        B"01001111" when B"1100000010",  -- INDEX 770
882
        B"00100011" when B"1100000011",  -- INDEX 771
883
        B"11110010" when B"1100000100",  -- INDEX 772
884
        B"11000011" when B"1100000101",  -- INDEX 773
885
        B"10011101" when B"1100000110",  -- INDEX 774
886
        B"10000110" when B"1100000111",  -- INDEX 775
887
        B"10000001" when B"1100001000",  -- INDEX 776
888
        B"10001111" when B"1100001001",  -- INDEX 777
889
        B"10101110" when B"1100001010",  -- INDEX 778
890
        B"11011001" when B"1100001011",  -- INDEX 779
891
        B"00001010" when B"1100001100",  -- INDEX 780
892
        B"00111010" when B"1100001101",  -- INDEX 781
893
        B"01100001" when B"1100001110",  -- INDEX 782
894
        B"01111001" when B"1100001111",  -- INDEX 783
895
        B"01111111" when B"1100010000",  -- INDEX 784
896
        B"01110011" when B"1100010001",  -- INDEX 785
897
        B"01010101" when B"1100010010",  -- INDEX 786
898
        B"00101011" when B"1100010011",  -- INDEX 787
899
        B"11111010" when B"1100010100",  -- INDEX 788
900
        B"11001010" when B"1100010101",  -- INDEX 789
901
        B"10100010" when B"1100010110",  -- INDEX 790
902
        B"10001000" when B"1100010111",  -- INDEX 791
903
        B"10000000" when B"1100011000",  -- INDEX 792
904
        B"10001011" when B"1100011001",  -- INDEX 793
905
        B"10101000" when B"1100011010",  -- INDEX 794
906
        B"11010001" when B"1100011011",  -- INDEX 795
907
        B"00000010" when B"1100011100",  -- INDEX 796
908
        B"00110010" when B"1100011101",  -- INDEX 797
909
        B"01011011" when B"1100011110",  -- INDEX 798
910
        B"01110110" when B"1100011111",  -- INDEX 799
911
        B"01111111" when B"1100100000",  -- INDEX 800
912
        B"01110110" when B"1100100001",  -- INDEX 801
913
        B"01011010" when B"1100100010",  -- INDEX 802
914
        B"00110000" when B"1100100011",  -- INDEX 803
915
        B"11111110" when B"1100100100",  -- INDEX 804
916
        B"11001101" when B"1100100101",  -- INDEX 805
917
        B"10100011" when B"1100100110",  -- INDEX 806
918
        B"10001000" when B"1100100111",  -- INDEX 807
919
        B"10000000" when B"1100101000",  -- INDEX 808
920
        B"10001100" when B"1100101001",  -- INDEX 809
921
        B"10101001" when B"1100101010",  -- INDEX 810
922
        B"11010100" when B"1100101011",  -- INDEX 811
923
        B"00000110" when B"1100101100",  -- INDEX 812
924
        B"00110111" when B"1100101101",  -- INDEX 813
925
        B"01011111" when B"1100101110",  -- INDEX 814
926
        B"01111001" when B"1100101111",  -- INDEX 815
927
        B"01111111" when B"1100110000",  -- INDEX 816
928
        B"01110011" when B"1100110001",  -- INDEX 817
929
        B"01010100" when B"1100110010",  -- INDEX 818
930
        B"00101000" when B"1100110011",  -- INDEX 819
931
        B"11110110" when B"1100110100",  -- INDEX 820
932
        B"11000101" when B"1100110101",  -- INDEX 821
933
        B"10011110" when B"1100110110",  -- INDEX 822
934
        B"10000110" when B"1100110111",  -- INDEX 823
935
        B"10000001" when B"1100111000",  -- INDEX 824
936
        B"10001111" when B"1100111001",  -- INDEX 825
937
        B"10101111" when B"1100111010",  -- INDEX 826
938
        B"11011100" when B"1100111011",  -- INDEX 827
939
        B"00001110" when B"1100111100",  -- INDEX 828
940
        B"00111110" when B"1100111101",  -- INDEX 829
941
        B"01100101" when B"1100111110",  -- INDEX 830
942
        B"01111011" when B"1100111111",  -- INDEX 831
943
        B"01111111" when B"1101000000",  -- INDEX 832
944
        B"01101111" when B"1101000001",  -- INDEX 833
945
        B"01001110" when B"1101000010",  -- INDEX 834
946
        B"00100000" when B"1101000011",  -- INDEX 835
947
        B"11101110" when B"1101000100",  -- INDEX 836
948
        B"10111110" when B"1101000101",  -- INDEX 837
949
        B"10011001" when B"1101000110",  -- INDEX 838
950
        B"10000100" when B"1101000111",  -- INDEX 839
951
        B"10000010" when B"1101001000",  -- INDEX 840
952
        B"10010011" when B"1101001001",  -- INDEX 841
953
        B"10110110" when B"1101001010",  -- INDEX 842
954
        B"11100100" when B"1101001011",  -- INDEX 843
955
        B"00010110" when B"1101001100",  -- INDEX 844
956
        B"01000101" when B"1101001101",  -- INDEX 845
957
        B"01101001" when B"1101001110",  -- INDEX 846
958
        B"01111101" when B"1101001111",  -- INDEX 847
959
        B"01111110" when B"1101010000",  -- INDEX 848
960
        B"01101011" when B"1101010001",  -- INDEX 849
961
        B"01000111" when B"1101010010",  -- INDEX 850
962
        B"00011000" when B"1101010011",  -- INDEX 851
963
        B"11100110" when B"1101010100",  -- INDEX 852
964
        B"10111000" when B"1101010101",  -- INDEX 853
965
        B"10010100" when B"1101010110",  -- INDEX 854
966
        B"10000010" when B"1101010111",  -- INDEX 855
967
        B"10000011" when B"1101011000",  -- INDEX 856
968
        B"10011000" when B"1101011001",  -- INDEX 857
969
        B"10111100" when B"1101011010",  -- INDEX 858
970
        B"11101011" when B"1101011011",  -- INDEX 859
971
        B"00011110" when B"1101011100",  -- INDEX 860
972
        B"01001100" when B"1101011101",  -- INDEX 861
973
        B"01101110" when B"1101011110",  -- INDEX 862
974
        B"01111111" when B"1101011111",  -- INDEX 863
975
        B"01111100" when B"1101100000",  -- INDEX 864
976
        B"01100110" when B"1101100001",  -- INDEX 865
977
        B"01000000" when B"1101100010",  -- INDEX 866
978
        B"00010001" when B"1101100011",  -- INDEX 867
979
        B"11011110" when B"1101100100",  -- INDEX 868
980
        B"10110001" when B"1101100101",  -- INDEX 869
981
        B"10010000" when B"1101100110",  -- INDEX 870
982
        B"10000001" when B"1101100111",  -- INDEX 871
983
        B"10000101" when B"1101101000",  -- INDEX 872
984
        B"10011100" when B"1101101001",  -- INDEX 873
985
        B"11000011" when B"1101101010",  -- INDEX 874
986
        B"11110011" when B"1101101011",  -- INDEX 875
987
        B"00100110" when B"1101101100",  -- INDEX 876
988
        B"01010010" when B"1101101101",  -- INDEX 877
989
        B"01110010" when B"1101101110",  -- INDEX 878
990
        B"01111111" when B"1101101111",  -- INDEX 879
991
        B"01111010" when B"1101110000",  -- INDEX 880
992
        B"01100001" when B"1101110001",  -- INDEX 881
993
        B"00111001" when B"1101110010",  -- INDEX 882
994
        B"00001001" when B"1101110011",  -- INDEX 883
995
        B"11010111" when B"1101110100",  -- INDEX 884
996
        B"10101011" when B"1101110101",  -- INDEX 885
997
        B"10001101" when B"1101110110",  -- INDEX 886
998
        B"10000000" when B"1101110111",  -- INDEX 887
999
        B"10001000" when B"1101111000",  -- INDEX 888
1000
        B"10100010" when B"1101111001",  -- INDEX 889
1001
        B"11001010" when B"1101111010",  -- INDEX 890
1002
        B"11111011" when B"1101111011",  -- INDEX 891
1003
        B"00101101" when B"1101111100",  -- INDEX 892
1004
        B"01011000" when B"1101111101",  -- INDEX 893
1005
        B"01110101" when B"1101111110",  -- INDEX 894
1006
        B"01111111" when B"1101111111",  -- INDEX 895
1007
        B"01110111" when B"1110000000",  -- INDEX 896
1008
        B"01011100" when B"1110000001",  -- INDEX 897
1009
        B"00110010" when B"1110000010",  -- INDEX 898
1010
        B"00000001" when B"1110000011",  -- INDEX 899
1011
        B"11001111" when B"1110000100",  -- INDEX 900
1012
        B"10100101" when B"1110000101",  -- INDEX 901
1013
        B"10001001" when B"1110000110",  -- INDEX 902
1014
        B"10000000" when B"1110000111",  -- INDEX 903
1015
        B"10001011" when B"1110001000",  -- INDEX 904
1016
        B"10100111" when B"1110001001",  -- INDEX 905
1017
        B"11010010" when B"1110001010",  -- INDEX 906
1018
        B"00000100" when B"1110001011",  -- INDEX 907
1019
        B"00110101" when B"1110001100",  -- INDEX 908
1020
        B"01011110" when B"1110001101",  -- INDEX 909
1021
        B"01111000" when B"1110001110",  -- INDEX 910
1022
        B"01111111" when B"1110001111",  -- INDEX 911
1023
        B"01110100" when B"1110010000",  -- INDEX 912
1024
        B"01010110" when B"1110010001",  -- INDEX 913
1025
        B"00101010" when B"1110010010",  -- INDEX 914
1026
        B"11111000" when B"1110010011",  -- INDEX 915
1027
        B"11001000" when B"1110010100",  -- INDEX 916
1028
        B"10100000" when B"1110010101",  -- INDEX 917
1029
        B"10000111" when B"1110010110",  -- INDEX 918
1030
        B"10000000" when B"1110010111",  -- INDEX 919
1031
        B"10001110" when B"1110011000",  -- INDEX 920
1032
        B"10101101" when B"1110011001",  -- INDEX 921
1033
        B"11011001" when B"1110011010",  -- INDEX 922
1034
        B"00001100" when B"1110011011",  -- INDEX 923
1035
        B"00111100" when B"1110011100",  -- INDEX 924
1036
        B"01100011" when B"1110011101",  -- INDEX 925
1037
        B"01111011" when B"1110011110",  -- INDEX 926
1038
        B"01111111" when B"1110011111",  -- INDEX 927
1039
        B"01110000" when B"1110100000",  -- INDEX 928
1040
        B"01010000" when B"1110100001",  -- INDEX 929
1041
        B"00100011" when B"1110100010",  -- INDEX 930
1042
        B"11110000" when B"1110100011",  -- INDEX 931
1043
        B"11000001" when B"1110100100",  -- INDEX 932
1044
        B"10011011" when B"1110100101",  -- INDEX 933
1045
        B"10000100" when B"1110100110",  -- INDEX 934
1046
        B"10000001" when B"1110100111",  -- INDEX 935
1047
        B"10010010" when B"1110101000",  -- INDEX 936
1048
        B"10110100" when B"1110101001",  -- INDEX 937
1049
        B"11100001" when B"1110101010",  -- INDEX 938
1050
        B"00010100" when B"1110101011",  -- INDEX 939
1051
        B"01000011" when B"1110101100",  -- INDEX 940
1052
        B"01101000" when B"1110101101",  -- INDEX 941
1053
        B"01111101" when B"1110101110",  -- INDEX 942
1054
        B"01111110" when B"1110101111",  -- INDEX 943
1055
        B"01101100" when B"1110110000",  -- INDEX 944
1056
        B"01001001" when B"1110110001",  -- INDEX 945
1057
        B"00011011" when B"1110110010",  -- INDEX 946
1058
        B"11101001" when B"1110110011",  -- INDEX 947
1059
        B"10111010" when B"1110110100",  -- INDEX 948
1060
        B"10010110" when B"1110110101",  -- INDEX 949
1061
        B"10000010" when B"1110110110",  -- INDEX 950
1062
        B"10000011" when B"1110110111",  -- INDEX 951
1063
        B"10010110" when B"1110111000",  -- INDEX 952
1064
        B"10111010" when B"1110111001",  -- INDEX 953
1065
        B"11101001" when B"1110111010",  -- INDEX 954
1066
        B"00011011" when B"1110111011",  -- INDEX 955
1067
        B"01001010" when B"1110111100",  -- INDEX 956
1068
        B"01101100" when B"1110111101",  -- INDEX 957
1069
        B"01111110" when B"1110111110",  -- INDEX 958
1070
        B"01111101" when B"1110111111",  -- INDEX 959
1071
        B"01101000" when B"1111000000",  -- INDEX 960
1072
        B"01000010" when B"1111000001",  -- INDEX 961
1073
        B"00010011" when B"1111000010",  -- INDEX 962
1074
        B"11100001" when B"1111000011",  -- INDEX 963
1075
        B"10110011" when B"1111000100",  -- INDEX 964
1076
        B"10010010" when B"1111000101",  -- INDEX 965
1077
        B"10000001" when B"1111000110",  -- INDEX 966
1078
        B"10000100" when B"1111000111",  -- INDEX 967
1079
        B"10011011" when B"1111001000",  -- INDEX 968
1080
        B"11000001" when B"1111001001",  -- INDEX 969
1081
        B"11110001" when B"1111001010",  -- INDEX 970
1082
        B"00100011" when B"1111001011",  -- INDEX 971
1083
        B"01010000" when B"1111001100",  -- INDEX 972
1084
        B"01110000" when B"1111001101",  -- INDEX 973
1085
        B"01111111" when B"1111001110",  -- INDEX 974
1086
        B"01111010" when B"1111001111",  -- INDEX 975
1087
        B"01100011" when B"1111010000",  -- INDEX 976
1088
        B"00111011" when B"1111010001",  -- INDEX 977
1089
        B"00001011" when B"1111010010",  -- INDEX 978
1090
        B"11011001" when B"1111010011",  -- INDEX 979
1091
        B"10101101" when B"1111010100",  -- INDEX 980
1092
        B"10001110" when B"1111010101",  -- INDEX 981
1093
        B"10000000" when B"1111010110",  -- INDEX 982
1094
        B"10000111" when B"1111010111",  -- INDEX 983
1095
        B"10100000" when B"1111011000",  -- INDEX 984
1096
        B"11001000" when B"1111011001",  -- INDEX 985
1097
        B"11111001" when B"1111011010",  -- INDEX 986
1098
        B"00101011" when B"1111011011",  -- INDEX 987
1099
        B"01010110" when B"1111011100",  -- INDEX 988
1100
        B"01110100" when B"1111011101",  -- INDEX 989
1101
        B"01111111" when B"1111011110",  -- INDEX 990
1102
        B"01111000" when B"1111011111",  -- INDEX 991
1103
        B"01011101" when B"1111100000",  -- INDEX 992
1104
        B"00110100" when B"1111100001",  -- INDEX 993
1105
        B"00000011" when B"1111100010",  -- INDEX 994
1106
        B"11010001" when B"1111100011",  -- INDEX 995
1107
        B"10100111" when B"1111100100",  -- INDEX 996
1108
        B"10001010" when B"1111100101",  -- INDEX 997
1109
        B"10000000" when B"1111100110",  -- INDEX 998
1110
        B"10001010" when B"1111100111",  -- INDEX 999
1111
 
1112
-- END INPUT FM SIGNAL
1113
        B"00000000" when others;
1114
 
1115
        with counter (09 downto 0) select
1116
        test_signal_fmTri_int <=
1117
-- START INPUT FM-TRI SIGNAL
1118
 
1119
        B"01111111" when B"0000000000",  -- INDEX 0
1120
        B"01110110" when B"0000000001",  -- INDEX 1
1121
        B"01011011" when B"0000000010",  -- INDEX 2
1122
        B"00110010" when B"0000000011",  -- INDEX 3
1123
        B"00000010" when B"0000000100",  -- INDEX 4
1124
        B"11010001" when B"0000000101",  -- INDEX 5
1125
        B"10101000" when B"0000000110",  -- INDEX 6
1126
        B"10001011" when B"0000000111",  -- INDEX 7
1127
        B"10000000" when B"0000001000",  -- INDEX 8
1128
        B"10001000" when B"0000001001",  -- INDEX 9
1129
        B"10100010" when B"0000001010",  -- INDEX 10
1130
        B"11001010" when B"0000001011",  -- INDEX 11
1131
        B"11111010" when B"0000001100",  -- INDEX 12
1132
        B"00101011" when B"0000001101",  -- INDEX 13
1133
        B"01010110" when B"0000001110",  -- INDEX 14
1134
        B"01110011" when B"0000001111",  -- INDEX 15
1135
        B"01111111" when B"0000010000",  -- INDEX 16
1136
        B"01111001" when B"0000010001",  -- INDEX 17
1137
        B"01100000" when B"0000010010",  -- INDEX 18
1138
        B"00111001" when B"0000010011",  -- INDEX 19
1139
        B"00001001" when B"0000010100",  -- INDEX 20
1140
        B"11011000" when B"0000010101",  -- INDEX 21
1141
        B"10101101" when B"0000010110",  -- INDEX 22
1142
        B"10001110" when B"0000010111",  -- INDEX 23
1143
        B"10000000" when B"0000011000",  -- INDEX 24
1144
        B"10000110" when B"0000011001",  -- INDEX 25
1145
        B"10011110" when B"0000011010",  -- INDEX 26
1146
        B"11000100" when B"0000011011",  -- INDEX 27
1147
        B"11110100" when B"0000011100",  -- INDEX 28
1148
        B"00100101" when B"0000011101",  -- INDEX 29
1149
        B"01010001" when B"0000011110",  -- INDEX 30
1150
        B"01110001" when B"0000011111",  -- INDEX 31
1151
        B"01111111" when B"0000100000",  -- INDEX 32
1152
        B"01111011" when B"0000100001",  -- INDEX 33
1153
        B"01100100" when B"0000100010",  -- INDEX 34
1154
        B"00111110" when B"0000100011",  -- INDEX 35
1155
        B"00001111" when B"0000100100",  -- INDEX 36
1156
        B"11011101" when B"0000100101",  -- INDEX 37
1157
        B"10110001" when B"0000100110",  -- INDEX 38
1158
        B"10010001" when B"0000100111",  -- INDEX 39
1159
        B"10000001" when B"0000101000",  -- INDEX 40
1160
        B"10000100" when B"0000101001",  -- INDEX 41
1161
        B"10011010" when B"0000101010",  -- INDEX 42
1162
        B"11000000" when B"0000101011",  -- INDEX 43
1163
        B"11101111" when B"0000101100",  -- INDEX 44
1164
        B"00100000" when B"0000101101",  -- INDEX 45
1165
        B"01001101" when B"0000101110",  -- INDEX 46
1166
        B"01101110" when B"0000101111",  -- INDEX 47
1167
        B"01111111" when B"0000110000",  -- INDEX 48
1168
        B"01111100" when B"0000110001",  -- INDEX 49
1169
        B"01100111" when B"0000110010",  -- INDEX 50
1170
        B"01000010" when B"0000110011",  -- INDEX 51
1171
        B"00010011" when B"0000110100",  -- INDEX 52
1172
        B"11100010" when B"0000110101",  -- INDEX 53
1173
        B"10110101" when B"0000110110",  -- INDEX 54
1174
        B"10010011" when B"0000110111",  -- INDEX 55
1175
        B"10000010" when B"0000111000",  -- INDEX 56
1176
        B"10000011" when B"0000111001",  -- INDEX 57
1177
        B"10011000" when B"0000111010",  -- INDEX 58
1178
        B"10111100" when B"0000111011",  -- INDEX 59
1179
        B"11101011" when B"0000111100",  -- INDEX 60
1180
        B"00011101" when B"0000111101",  -- INDEX 61
1181
        B"01001010" when B"0000111110",  -- INDEX 62
1182
        B"01101100" when B"0000111111",  -- INDEX 63
1183
        B"01111110" when B"0001000000",  -- INDEX 64
1184
        B"01111101" when B"0001000001",  -- INDEX 65
1185
        B"01101001" when B"0001000010",  -- INDEX 66
1186
        B"01000101" when B"0001000011",  -- INDEX 67
1187
        B"00010111" when B"0001000100",  -- INDEX 68
1188
        B"11100101" when B"0001000101",  -- INDEX 69
1189
        B"10110111" when B"0001000110",  -- INDEX 70
1190
        B"10010101" when B"0001000111",  -- INDEX 71
1191
        B"10000010" when B"0001001000",  -- INDEX 72
1192
        B"10000011" when B"0001001001",  -- INDEX 73
1193
        B"10010110" when B"0001001010",  -- INDEX 74
1194
        B"10111010" when B"0001001011",  -- INDEX 75
1195
        B"11101000" when B"0001001100",  -- INDEX 76
1196
        B"00011010" when B"0001001101",  -- INDEX 77
1197
        B"01001000" when B"0001001110",  -- INDEX 78
1198
        B"01101011" when B"0001001111",  -- INDEX 79
1199
        B"01111110" when B"0001010000",  -- INDEX 80
1200
        B"01111101" when B"0001010001",  -- INDEX 81
1201
        B"01101010" when B"0001010010",  -- INDEX 82
1202
        B"01000111" when B"0001010011",  -- INDEX 83
1203
        B"00011001" when B"0001010100",  -- INDEX 84
1204
        B"11100111" when B"0001010101",  -- INDEX 85
1205
        B"10111001" when B"0001010110",  -- INDEX 86
1206
        B"10010101" when B"0001010111",  -- INDEX 87
1207
        B"10000010" when B"0001011000",  -- INDEX 88
1208
        B"10000010" when B"0001011001",  -- INDEX 89
1209
        B"10010110" when B"0001011010",  -- INDEX 90
1210
        B"10111001" when B"0001011011",  -- INDEX 91
1211
        B"11100111" when B"0001011100",  -- INDEX 92
1212
        B"00011001" when B"0001011101",  -- INDEX 93
1213
        B"01000111" when B"0001011110",  -- INDEX 94
1214
        B"01101010" when B"0001011111",  -- INDEX 95
1215
        B"01111110" when B"0001100000",  -- INDEX 96
1216
        B"01111110" when B"0001100001",  -- INDEX 97
1217
        B"01101011" when B"0001100010",  -- INDEX 98
1218
        B"01000111" when B"0001100011",  -- INDEX 99
1219
        B"00011001" when B"0001100100",  -- INDEX 100
1220
        B"11100111" when B"0001100101",  -- INDEX 101
1221
        B"10111001" when B"0001100110",  -- INDEX 102
1222
        B"10010110" when B"0001100111",  -- INDEX 103
1223
        B"10000011" when B"0001101000",  -- INDEX 104
1224
        B"10000010" when B"0001101001",  -- INDEX 105
1225
        B"10010101" when B"0001101010",  -- INDEX 106
1226
        B"10111001" when B"0001101011",  -- INDEX 107
1227
        B"11100111" when B"0001101100",  -- INDEX 108
1228
        B"00011001" when B"0001101101",  -- INDEX 109
1229
        B"01000111" when B"0001101110",  -- INDEX 110
1230
        B"01101010" when B"0001101111",  -- INDEX 111
1231
        B"01111110" when B"0001110000",  -- INDEX 112
1232
        B"01111110" when B"0001110001",  -- INDEX 113
1233
        B"01101010" when B"0001110010",  -- INDEX 114
1234
        B"01000111" when B"0001110011",  -- INDEX 115
1235
        B"00011001" when B"0001110100",  -- INDEX 116
1236
        B"11100111" when B"0001110101",  -- INDEX 117
1237
        B"10111000" when B"0001110110",  -- INDEX 118
1238
        B"10010101" when B"0001110111",  -- INDEX 119
1239
        B"10000010" when B"0001111000",  -- INDEX 120
1240
        B"10000011" when B"0001111001",  -- INDEX 121
1241
        B"10010110" when B"0001111010",  -- INDEX 122
1242
        B"10111010" when B"0001111011",  -- INDEX 123
1243
        B"11101000" when B"0001111100",  -- INDEX 124
1244
        B"00011010" when B"0001111101",  -- INDEX 125
1245
        B"01001000" when B"0001111110",  -- INDEX 126
1246
        B"01101011" when B"0001111111",  -- INDEX 127
1247
        B"01111110" when B"0010000000",  -- INDEX 128
1248
        B"01111101" when B"0010000001",  -- INDEX 129
1249
        B"01101001" when B"0010000010",  -- INDEX 130
1250
        B"01000101" when B"0010000011",  -- INDEX 131
1251
        B"00010111" when B"0010000100",  -- INDEX 132
1252
        B"11100101" when B"0010000101",  -- INDEX 133
1253
        B"10110111" when B"0010000110",  -- INDEX 134
1254
        B"10010100" when B"0010000111",  -- INDEX 135
1255
        B"10000010" when B"0010001000",  -- INDEX 136
1256
        B"10000011" when B"0010001001",  -- INDEX 137
1257
        B"10010111" when B"0010001010",  -- INDEX 138
1258
        B"10111100" when B"0010001011",  -- INDEX 139
1259
        B"11101011" when B"0010001100",  -- INDEX 140
1260
        B"00011101" when B"0010001101",  -- INDEX 141
1261
        B"01001010" when B"0010001110",  -- INDEX 142
1262
        B"01101101" when B"0010001111",  -- INDEX 143
1263
        B"01111110" when B"0010010000",  -- INDEX 144
1264
        B"01111101" when B"0010010001",  -- INDEX 145
1265
        B"01101000" when B"0010010010",  -- INDEX 146
1266
        B"01000011" when B"0010010011",  -- INDEX 147
1267
        B"00010100" when B"0010010100",  -- INDEX 148
1268
        B"11100010" when B"0010010101",  -- INDEX 149
1269
        B"10110100" when B"0010010110",  -- INDEX 150
1270
        B"10010010" when B"0010010111",  -- INDEX 151
1271
        B"10000001" when B"0010011000",  -- INDEX 152
1272
        B"10000100" when B"0010011001",  -- INDEX 153
1273
        B"10011010" when B"0010011010",  -- INDEX 154
1274
        B"10111111" when B"0010011011",  -- INDEX 155
1275
        B"11101110" when B"0010011100",  -- INDEX 156
1276
        B"00100001" when B"0010011101",  -- INDEX 157
1277
        B"01001110" when B"0010011110",  -- INDEX 158
1278
        B"01101111" when B"0010011111",  -- INDEX 159
1279
        B"01111111" when B"0010100000",  -- INDEX 160
1280
        B"01111011" when B"0010100001",  -- INDEX 161
1281
        B"01100101" when B"0010100010",  -- INDEX 162
1282
        B"00111111" when B"0010100011",  -- INDEX 163
1283
        B"00001111" when B"0010100100",  -- INDEX 164
1284
        B"11011101" when B"0010100101",  -- INDEX 165
1285
        B"10110000" when B"0010100110",  -- INDEX 166
1286
        B"10010000" when B"0010100111",  -- INDEX 167
1287
        B"10000001" when B"0010101000",  -- INDEX 168
1288
        B"10000101" when B"0010101001",  -- INDEX 169
1289
        B"10011101" when B"0010101010",  -- INDEX 170
1290
        B"11000011" when B"0010101011",  -- INDEX 171
1291
        B"11110011" when B"0010101100",  -- INDEX 172
1292
        B"00100110" when B"0010101101",  -- INDEX 173
1293
        B"01010010" when B"0010101110",  -- INDEX 174
1294
        B"01110001" when B"0010101111",  -- INDEX 175
1295
        B"01111111" when B"0010110000",  -- INDEX 176
1296
        B"01111010" when B"0010110001",  -- INDEX 177
1297
        B"01100001" when B"0010110010",  -- INDEX 178
1298
        B"00111010" when B"0010110011",  -- INDEX 179
1299
        B"00001001" when B"0010110100",  -- INDEX 180
1300
        B"11011000" when B"0010110101",  -- INDEX 181
1301
        B"10101100" when B"0010110110",  -- INDEX 182
1302
        B"10001101" when B"0010110111",  -- INDEX 183
1303
        B"10000000" when B"0010111000",  -- INDEX 184
1304
        B"10000111" when B"0010111001",  -- INDEX 185
1305
        B"10100001" when B"0010111010",  -- INDEX 186
1306
        B"11001001" when B"0010111011",  -- INDEX 187
1307
        B"11111010" when B"0010111100",  -- INDEX 188
1308
        B"00101100" when B"0010111101",  -- INDEX 189
1309
        B"01010111" when B"0010111110",  -- INDEX 190
1310
        B"01110100" when B"0010111111",  -- INDEX 191
1311
        B"01111111" when B"0011000000",  -- INDEX 192
1312
        B"01111000" when B"0011000001",  -- INDEX 193
1313
        B"01011101" when B"0011000010",  -- INDEX 194
1314
        B"00110100" when B"0011000011",  -- INDEX 195
1315
        B"00000010" when B"0011000100",  -- INDEX 196
1316
        B"11010001" when B"0011000101",  -- INDEX 197
1317
        B"10100111" when B"0011000110",  -- INDEX 198
1318
        B"10001010" when B"0011000111",  -- INDEX 199
1319
        B"10000000" when B"0011001000",  -- INDEX 200
1320
        B"10001010" when B"0011001001",  -- INDEX 201
1321
        B"10100110" when B"0011001010",  -- INDEX 202
1322
        B"11010000" when B"0011001011",  -- INDEX 203
1323
        B"00000001" when B"0011001100",  -- INDEX 204
1324
        B"00110011" when B"0011001101",  -- INDEX 205
1325
        B"01011100" when B"0011001110",  -- INDEX 206
1326
        B"01110111" when B"0011001111",  -- INDEX 207
1327
        B"01111111" when B"0011010000",  -- INDEX 208
1328
        B"01110101" when B"0011010001",  -- INDEX 209
1329
        B"01010111" when B"0011010010",  -- INDEX 210
1330
        B"00101101" when B"0011010011",  -- INDEX 211
1331
        B"11111011" when B"0011010100",  -- INDEX 212
1332
        B"11001010" when B"0011010101",  -- INDEX 213
1333
        B"10100001" when B"0011010110",  -- INDEX 214
1334
        B"10000111" when B"0011010111",  -- INDEX 215
1335
        B"10000000" when B"0011011000",  -- INDEX 216
1336
        B"10001101" when B"0011011001",  -- INDEX 217
1337
        B"10101011" when B"0011011010",  -- INDEX 218
1338
        B"11010111" when B"0011011011",  -- INDEX 219
1339
        B"00001001" when B"0011011100",  -- INDEX 220
1340
        B"00111001" when B"0011011101",  -- INDEX 221
1341
        B"01100001" when B"0011011110",  -- INDEX 222
1342
        B"01111010" when B"0011011111",  -- INDEX 223
1343
        B"01111111" when B"0011100000",  -- INDEX 224
1344
        B"01110010" when B"0011100001",  -- INDEX 225
1345
        B"01010010" when B"0011100010",  -- INDEX 226
1346
        B"00100110" when B"0011100011",  -- INDEX 227
1347
        B"11110100" when B"0011100100",  -- INDEX 228
1348
        B"11000100" when B"0011100101",  -- INDEX 229
1349
        B"10011101" when B"0011100110",  -- INDEX 230
1350
        B"10000101" when B"0011100111",  -- INDEX 231
1351
        B"10000001" when B"0011101000",  -- INDEX 232
1352
        B"10010000" when B"0011101001",  -- INDEX 233
1353
        B"10110000" when B"0011101010",  -- INDEX 234
1354
        B"11011100" when B"0011101011",  -- INDEX 235
1355
        B"00001110" when B"0011101100",  -- INDEX 236
1356
        B"00111110" when B"0011101101",  -- INDEX 237
1357
        B"01100101" when B"0011101110",  -- INDEX 238
1358
        B"01111011" when B"0011101111",  -- INDEX 239
1359
        B"01111111" when B"0011110000",  -- INDEX 240
1360
        B"01101111" when B"0011110001",  -- INDEX 241
1361
        B"01001110" when B"0011110010",  -- INDEX 242
1362
        B"00100001" when B"0011110011",  -- INDEX 243
1363
        B"11101111" when B"0011110100",  -- INDEX 244
1364
        B"11000000" when B"0011110101",  -- INDEX 245
1365
        B"10011010" when B"0011110110",  -- INDEX 246
1366
        B"10000100" when B"0011110111",  -- INDEX 247
1367
        B"10000001" when B"0011111000",  -- INDEX 248
1368
        B"10010010" when B"0011111001",  -- INDEX 249
1369
        B"10110011" when B"0011111010",  -- INDEX 250
1370
        B"11100001" when B"0011111011",  -- INDEX 251
1371
        B"00010011" when B"0011111100",  -- INDEX 252
1372
        B"01000010" when B"0011111101",  -- INDEX 253
1373
        B"01100111" when B"0011111110",  -- INDEX 254
1374
        B"01111100" when B"0011111111",  -- INDEX 255
1375
        B"01111110" when B"0100000000",  -- INDEX 256
1376
        B"01101101" when B"0100000001",  -- INDEX 257
1377
        B"01001011" when B"0100000010",  -- INDEX 258
1378
        B"00011101" when B"0100000011",  -- INDEX 259
1379
        B"11101011" when B"0100000100",  -- INDEX 260
1380
        B"10111100" when B"0100000101",  -- INDEX 261
1381
        B"10011000" when B"0100000110",  -- INDEX 262
1382
        B"10000011" when B"0100000111",  -- INDEX 263
1383
        B"10000010" when B"0100001000",  -- INDEX 264
1384
        B"10010100" when B"0100001001",  -- INDEX 265
1385
        B"10110110" when B"0100001010",  -- INDEX 266
1386
        B"11100100" when B"0100001011",  -- INDEX 267
1387
        B"00010110" when B"0100001100",  -- INDEX 268
1388
        B"01000101" when B"0100001101",  -- INDEX 269
1389
        B"01101001" when B"0100001110",  -- INDEX 270
1390
        B"01111101" when B"0100001111",  -- INDEX 271
1391
        B"01111110" when B"0100010000",  -- INDEX 272
1392
        B"01101100" when B"0100010001",  -- INDEX 273
1393
        B"01001001" when B"0100010010",  -- INDEX 274
1394
        B"00011011" when B"0100010011",  -- INDEX 275
1395
        B"11101001" when B"0100010100",  -- INDEX 276
1396
        B"10111010" when B"0100010101",  -- INDEX 277
1397
        B"10010110" when B"0100010110",  -- INDEX 278
1398
        B"10000011" when B"0100010111",  -- INDEX 279
1399
        B"10000010" when B"0100011000",  -- INDEX 280
1400
        B"10010101" when B"0100011001",  -- INDEX 281
1401
        B"10111000" when B"0100011010",  -- INDEX 282
1402
        B"11100110" when B"0100011011",  -- INDEX 283
1403
        B"00011000" when B"0100011100",  -- INDEX 284
1404
        B"01000110" when B"0100011101",  -- INDEX 285
1405
        B"01101010" when B"0100011110",  -- INDEX 286
1406
        B"01111101" when B"0100011111",  -- INDEX 287
1407
        B"01111110" when B"0100100000",  -- INDEX 288
1408
        B"01101011" when B"0100100001",  -- INDEX 289
1409
        B"01001000" when B"0100100010",  -- INDEX 290
1410
        B"00011001" when B"0100100011",  -- INDEX 291
1411
        B"11100111" when B"0100100100",  -- INDEX 292
1412
        B"10111001" when B"0100100101",  -- INDEX 293
1413
        B"10010110" when B"0100100110",  -- INDEX 294
1414
        B"10000011" when B"0100100111",  -- INDEX 295
1415
        B"10000010" when B"0100101000",  -- INDEX 296
1416
        B"10010101" when B"0100101001",  -- INDEX 297
1417
        B"10111001" when B"0100101010",  -- INDEX 298
1418
        B"11100111" when B"0100101011",  -- INDEX 299
1419
        B"00011001" when B"0100101100",  -- INDEX 300
1420
        B"01000111" when B"0100101101",  -- INDEX 301
1421
        B"01101010" when B"0100101110",  -- INDEX 302
1422
        B"01111101" when B"0100101111",  -- INDEX 303
1423
        B"01111110" when B"0100110000",  -- INDEX 304
1424
        B"01101011" when B"0100110001",  -- INDEX 305
1425
        B"01000111" when B"0100110010",  -- INDEX 306
1426
        B"00011001" when B"0100110011",  -- INDEX 307
1427
        B"11100111" when B"0100110100",  -- INDEX 308
1428
        B"10111001" when B"0100110101",  -- INDEX 309
1429
        B"10010110" when B"0100110110",  -- INDEX 310
1430
        B"10000011" when B"0100110111",  -- INDEX 311
1431
        B"10000010" when B"0100111000",  -- INDEX 312
1432
        B"10010101" when B"0100111001",  -- INDEX 313
1433
        B"10111000" when B"0100111010",  -- INDEX 314
1434
        B"11100110" when B"0100111011",  -- INDEX 315
1435
        B"00011000" when B"0100111100",  -- INDEX 316
1436
        B"01000110" when B"0100111101",  -- INDEX 317
1437
        B"01101010" when B"0100111110",  -- INDEX 318
1438
        B"01111101" when B"0100111111",  -- INDEX 319
1439
        B"01111110" when B"0101000000",  -- INDEX 320
1440
        B"01101011" when B"0101000001",  -- INDEX 321
1441
        B"01001000" when B"0101000010",  -- INDEX 322
1442
        B"00011010" when B"0101000011",  -- INDEX 323
1443
        B"11101001" when B"0101000100",  -- INDEX 324
1444
        B"10111010" when B"0101000101",  -- INDEX 325
1445
        B"10010111" when B"0101000110",  -- INDEX 326
1446
        B"10000011" when B"0101000111",  -- INDEX 327
1447
        B"10000010" when B"0101001000",  -- INDEX 328
1448
        B"10010100" when B"0101001001",  -- INDEX 329
1449
        B"10110111" when B"0101001010",  -- INDEX 330
1450
        B"11100100" when B"0101001011",  -- INDEX 331
1451
        B"00010110" when B"0101001100",  -- INDEX 332
1452
        B"01000101" when B"0101001101",  -- INDEX 333
1453
        B"01101001" when B"0101001110",  -- INDEX 334
1454
        B"01111101" when B"0101001111",  -- INDEX 335
1455
        B"01111110" when B"0101010000",  -- INDEX 336
1456
        B"01101100" when B"0101010001",  -- INDEX 337
1457
        B"01001010" when B"0101010010",  -- INDEX 338
1458
        B"00011101" when B"0101010011",  -- INDEX 339
1459
        B"11101011" when B"0101010100",  -- INDEX 340
1460
        B"10111101" when B"0101010101",  -- INDEX 341
1461
        B"10011000" when B"0101010110",  -- INDEX 342
1462
        B"10000011" when B"0101010111",  -- INDEX 343
1463
        B"10000010" when B"0101011000",  -- INDEX 344
1464
        B"10010011" when B"0101011001",  -- INDEX 345
1465
        B"10110100" when B"0101011010",  -- INDEX 346
1466
        B"11100001" when B"0101011011",  -- INDEX 347
1467
        B"00010011" when B"0101011100",  -- INDEX 348
1468
        B"01000010" when B"0101011101",  -- INDEX 349
1469
        B"01100111" when B"0101011110",  -- INDEX 350
1470
        B"01111100" when B"0101011111",  -- INDEX 351
1471
        B"01111111" when B"0101100000",  -- INDEX 352
1472
        B"01101110" when B"0101100001",  -- INDEX 353
1473
        B"01001101" when B"0101100010",  -- INDEX 354
1474
        B"00100000" when B"0101100011",  -- INDEX 355
1475
        B"11101111" when B"0101100100",  -- INDEX 356
1476
        B"11000000" when B"0101100101",  -- INDEX 357
1477
        B"10011011" when B"0101100110",  -- INDEX 358
1478
        B"10000100" when B"0101100111",  -- INDEX 359
1479
        B"10000001" when B"0101101000",  -- INDEX 360
1480
        B"10010001" when B"0101101001",  -- INDEX 361
1481
        B"10110001" when B"0101101010",  -- INDEX 362
1482
        B"11011101" when B"0101101011",  -- INDEX 363
1483
        B"00001111" when B"0101101100",  -- INDEX 364
1484
        B"00111110" when B"0101101101",  -- INDEX 365
1485
        B"01100100" when B"0101101110",  -- INDEX 366
1486
        B"01111011" when B"0101101111",  -- INDEX 367
1487
        B"01111111" when B"0101110000",  -- INDEX 368
1488
        B"01110001" when B"0101110001",  -- INDEX 369
1489
        B"01010001" when B"0101110010",  -- INDEX 370
1490
        B"00100101" when B"0101110011",  -- INDEX 371
1491
        B"11110100" when B"0101110100",  -- INDEX 372
1492
        B"11000100" when B"0101110101",  -- INDEX 373
1493
        B"10011110" when B"0101110110",  -- INDEX 374
1494
        B"10000110" when B"0101110111",  -- INDEX 375
1495
        B"10000000" when B"0101111000",  -- INDEX 376
1496
        B"10001110" when B"0101111001",  -- INDEX 377
1497
        B"10101101" when B"0101111010",  -- INDEX 378
1498
        B"11011000" when B"0101111011",  -- INDEX 379
1499
        B"00001001" when B"0101111100",  -- INDEX 380
1500
        B"00111001" when B"0101111101",  -- INDEX 381
1501
        B"01100000" when B"0101111110",  -- INDEX 382
1502
        B"01111001" when B"0101111111",  -- INDEX 383
1503
        B"01111111" when B"0110000000",  -- INDEX 384
1504
        B"01110011" when B"0110000001",  -- INDEX 385
1505
        B"01010110" when B"0110000010",  -- INDEX 386
1506
        B"00101011" when B"0110000011",  -- INDEX 387
1507
        B"11111010" when B"0110000100",  -- INDEX 388
1508
        B"11001010" when B"0110000101",  -- INDEX 389
1509
        B"10100010" when B"0110000110",  -- INDEX 390
1510
        B"10001000" when B"0110000111",  -- INDEX 391
1511
        B"10000000" when B"0110001000",  -- INDEX 392
1512
        B"10001011" when B"0110001001",  -- INDEX 393
1513
        B"10101000" when B"0110001010",  -- INDEX 394
1514
        B"11010001" when B"0110001011",  -- INDEX 395
1515
        B"00000010" when B"0110001100",  -- INDEX 396
1516
        B"00110010" when B"0110001101",  -- INDEX 397
1517
        B"01011011" when B"0110001110",  -- INDEX 398
1518
        B"01110110" when B"0110001111",  -- INDEX 399
1519
        B"01111111" when B"0110010000",  -- INDEX 400
1520
        B"01110110" when B"0110010001",  -- INDEX 401
1521
        B"01011011" when B"0110010010",  -- INDEX 402
1522
        B"00110010" when B"0110010011",  -- INDEX 403
1523
        B"00000010" when B"0110010100",  -- INDEX 404
1524
        B"11010001" when B"0110010101",  -- INDEX 405
1525
        B"10101000" when B"0110010110",  -- INDEX 406
1526
        B"10001011" when B"0110010111",  -- INDEX 407
1527
        B"10000000" when B"0110011000",  -- INDEX 408
1528
        B"10001000" when B"0110011001",  -- INDEX 409
1529
        B"10100010" when B"0110011010",  -- INDEX 410
1530
        B"11001010" when B"0110011011",  -- INDEX 411
1531
        B"11111010" when B"0110011100",  -- INDEX 412
1532
        B"00101011" when B"0110011101",  -- INDEX 413
1533
        B"01010110" when B"0110011110",  -- INDEX 414
1534
        B"01110011" when B"0110011111",  -- INDEX 415
1535
        B"01111111" when B"0110100000",  -- INDEX 416
1536
        B"01111001" when B"0110100001",  -- INDEX 417
1537
        B"01100000" when B"0110100010",  -- INDEX 418
1538
        B"00111001" when B"0110100011",  -- INDEX 419
1539
        B"00001001" when B"0110100100",  -- INDEX 420
1540
        B"11011000" when B"0110100101",  -- INDEX 421
1541
        B"10101101" when B"0110100110",  -- INDEX 422
1542
        B"10001110" when B"0110100111",  -- INDEX 423
1543
        B"10000000" when B"0110101000",  -- INDEX 424
1544
        B"10000110" when B"0110101001",  -- INDEX 425
1545
        B"10011110" when B"0110101010",  -- INDEX 426
1546
        B"11000100" when B"0110101011",  -- INDEX 427
1547
        B"11110100" when B"0110101100",  -- INDEX 428
1548
        B"00100101" when B"0110101101",  -- INDEX 429
1549
        B"01010001" when B"0110101110",  -- INDEX 430
1550
        B"01110001" when B"0110101111",  -- INDEX 431
1551
        B"01111111" when B"0110110000",  -- INDEX 432
1552
        B"01111011" when B"0110110001",  -- INDEX 433
1553
        B"01100100" when B"0110110010",  -- INDEX 434
1554
        B"00111110" when B"0110110011",  -- INDEX 435
1555
        B"00001111" when B"0110110100",  -- INDEX 436
1556
        B"11011101" when B"0110110101",  -- INDEX 437
1557
        B"10110001" when B"0110110110",  -- INDEX 438
1558
        B"10010001" when B"0110110111",  -- INDEX 439
1559
        B"10000001" when B"0110111000",  -- INDEX 440
1560
        B"10000100" when B"0110111001",  -- INDEX 441
1561
        B"10011010" when B"0110111010",  -- INDEX 442
1562
        B"11000000" when B"0110111011",  -- INDEX 443
1563
        B"11101111" when B"0110111100",  -- INDEX 444
1564
        B"00100000" when B"0110111101",  -- INDEX 445
1565
        B"01001101" when B"0110111110",  -- INDEX 446
1566
        B"01101110" when B"0110111111",  -- INDEX 447
1567
        B"01111111" when B"0111000000",  -- INDEX 448
1568
        B"01111100" when B"0111000001",  -- INDEX 449
1569
        B"01100111" when B"0111000010",  -- INDEX 450
1570
        B"01000010" when B"0111000011",  -- INDEX 451
1571
        B"00010011" when B"0111000100",  -- INDEX 452
1572
        B"11100010" when B"0111000101",  -- INDEX 453
1573
        B"10110101" when B"0111000110",  -- INDEX 454
1574
        B"10010011" when B"0111000111",  -- INDEX 455
1575
        B"10000010" when B"0111001000",  -- INDEX 456
1576
        B"10000011" when B"0111001001",  -- INDEX 457
1577
        B"10011000" when B"0111001010",  -- INDEX 458
1578
        B"10111100" when B"0111001011",  -- INDEX 459
1579
        B"11101011" when B"0111001100",  -- INDEX 460
1580
        B"00011101" when B"0111001101",  -- INDEX 461
1581
        B"01001010" when B"0111001110",  -- INDEX 462
1582
        B"01101100" when B"0111001111",  -- INDEX 463
1583
        B"01111110" when B"0111010000",  -- INDEX 464
1584
        B"01111101" when B"0111010001",  -- INDEX 465
1585
        B"01101001" when B"0111010010",  -- INDEX 466
1586
        B"01000101" when B"0111010011",  -- INDEX 467
1587
        B"00010111" when B"0111010100",  -- INDEX 468
1588
        B"11100101" when B"0111010101",  -- INDEX 469
1589
        B"10110111" when B"0111010110",  -- INDEX 470
1590
        B"10010101" when B"0111010111",  -- INDEX 471
1591
        B"10000010" when B"0111011000",  -- INDEX 472
1592
        B"10000011" when B"0111011001",  -- INDEX 473
1593
        B"10010110" when B"0111011010",  -- INDEX 474
1594
        B"10111010" when B"0111011011",  -- INDEX 475
1595
        B"11101000" when B"0111011100",  -- INDEX 476
1596
        B"00011010" when B"0111011101",  -- INDEX 477
1597
        B"01001000" when B"0111011110",  -- INDEX 478
1598
        B"01101011" when B"0111011111",  -- INDEX 479
1599
        B"01111110" when B"0111100000",  -- INDEX 480
1600
        B"01111101" when B"0111100001",  -- INDEX 481
1601
        B"01101010" when B"0111100010",  -- INDEX 482
1602
        B"01000111" when B"0111100011",  -- INDEX 483
1603
        B"00011001" when B"0111100100",  -- INDEX 484
1604
        B"11100111" when B"0111100101",  -- INDEX 485
1605
        B"10111001" when B"0111100110",  -- INDEX 486
1606
        B"10010101" when B"0111100111",  -- INDEX 487
1607
        B"10000010" when B"0111101000",  -- INDEX 488
1608
        B"10000010" when B"0111101001",  -- INDEX 489
1609
        B"10010110" when B"0111101010",  -- INDEX 490
1610
        B"10111001" when B"0111101011",  -- INDEX 491
1611
        B"11100111" when B"0111101100",  -- INDEX 492
1612
        B"00011001" when B"0111101101",  -- INDEX 493
1613
        B"01000111" when B"0111101110",  -- INDEX 494
1614
        B"01101010" when B"0111101111",  -- INDEX 495
1615
        B"01111110" when B"0111110000",  -- INDEX 496
1616
        B"01111110" when B"0111110001",  -- INDEX 497
1617
        B"01101011" when B"0111110010",  -- INDEX 498
1618
        B"01000111" when B"0111110011",  -- INDEX 499
1619
        B"00011001" when B"0111110100",  -- INDEX 500
1620
        B"11100111" when B"0111110101",  -- INDEX 501
1621
        B"10111001" when B"0111110110",  -- INDEX 502
1622
        B"10010110" when B"0111110111",  -- INDEX 503
1623
        B"10000011" when B"0111111000",  -- INDEX 504
1624
        B"10000010" when B"0111111001",  -- INDEX 505
1625
        B"10010101" when B"0111111010",  -- INDEX 506
1626
        B"10111001" when B"0111111011",  -- INDEX 507
1627
        B"11100111" when B"0111111100",  -- INDEX 508
1628
        B"00011001" when B"0111111101",  -- INDEX 509
1629
        B"01000111" when B"0111111110",  -- INDEX 510
1630
        B"01101010" when B"0111111111",  -- INDEX 511
1631
        B"01111110" when B"1000000000",  -- INDEX 512
1632
        B"01111110" when B"1000000001",  -- INDEX 513
1633
        B"01101010" when B"1000000010",  -- INDEX 514
1634
        B"01000111" when B"1000000011",  -- INDEX 515
1635
        B"00011001" when B"1000000100",  -- INDEX 516
1636
        B"11100111" when B"1000000101",  -- INDEX 517
1637
        B"10111000" when B"1000000110",  -- INDEX 518
1638
        B"10010101" when B"1000000111",  -- INDEX 519
1639
        B"10000010" when B"1000001000",  -- INDEX 520
1640
        B"10000011" when B"1000001001",  -- INDEX 521
1641
        B"10010110" when B"1000001010",  -- INDEX 522
1642
        B"10111010" when B"1000001011",  -- INDEX 523
1643
        B"11101000" when B"1000001100",  -- INDEX 524
1644
        B"00011010" when B"1000001101",  -- INDEX 525
1645
        B"01001000" when B"1000001110",  -- INDEX 526
1646
        B"01101011" when B"1000001111",  -- INDEX 527
1647
        B"01111110" when B"1000010000",  -- INDEX 528
1648
        B"01111101" when B"1000010001",  -- INDEX 529
1649
        B"01101001" when B"1000010010",  -- INDEX 530
1650
        B"01000101" when B"1000010011",  -- INDEX 531
1651
        B"00010111" when B"1000010100",  -- INDEX 532
1652
        B"11100101" when B"1000010101",  -- INDEX 533
1653
        B"10110111" when B"1000010110",  -- INDEX 534
1654
        B"10010100" when B"1000010111",  -- INDEX 535
1655
        B"10000010" when B"1000011000",  -- INDEX 536
1656
        B"10000011" when B"1000011001",  -- INDEX 537
1657
        B"10010111" when B"1000011010",  -- INDEX 538
1658
        B"10111100" when B"1000011011",  -- INDEX 539
1659
        B"11101011" when B"1000011100",  -- INDEX 540
1660
        B"00011101" when B"1000011101",  -- INDEX 541
1661
        B"01001010" when B"1000011110",  -- INDEX 542
1662
        B"01101101" when B"1000011111",  -- INDEX 543
1663
        B"01111110" when B"1000100000",  -- INDEX 544
1664
        B"01111101" when B"1000100001",  -- INDEX 545
1665
        B"01101000" when B"1000100010",  -- INDEX 546
1666
        B"01000011" when B"1000100011",  -- INDEX 547
1667
        B"00010100" when B"1000100100",  -- INDEX 548
1668
        B"11100010" when B"1000100101",  -- INDEX 549
1669
        B"10110100" when B"1000100110",  -- INDEX 550
1670
        B"10010010" when B"1000100111",  -- INDEX 551
1671
        B"10000001" when B"1000101000",  -- INDEX 552
1672
        B"10000100" when B"1000101001",  -- INDEX 553
1673
        B"10011010" when B"1000101010",  -- INDEX 554
1674
        B"10111111" when B"1000101011",  -- INDEX 555
1675
        B"11101110" when B"1000101100",  -- INDEX 556
1676
        B"00100001" when B"1000101101",  -- INDEX 557
1677
        B"01001110" when B"1000101110",  -- INDEX 558
1678
        B"01101111" when B"1000101111",  -- INDEX 559
1679
        B"01111111" when B"1000110000",  -- INDEX 560
1680
        B"01111011" when B"1000110001",  -- INDEX 561
1681
        B"01100101" when B"1000110010",  -- INDEX 562
1682
        B"00111111" when B"1000110011",  -- INDEX 563
1683
        B"00001111" when B"1000110100",  -- INDEX 564
1684
        B"11011101" when B"1000110101",  -- INDEX 565
1685
        B"10110000" when B"1000110110",  -- INDEX 566
1686
        B"10010000" when B"1000110111",  -- INDEX 567
1687
        B"10000001" when B"1000111000",  -- INDEX 568
1688
        B"10000101" when B"1000111001",  -- INDEX 569
1689
        B"10011101" when B"1000111010",  -- INDEX 570
1690
        B"11000011" when B"1000111011",  -- INDEX 571
1691
        B"11110011" when B"1000111100",  -- INDEX 572
1692
        B"00100110" when B"1000111101",  -- INDEX 573
1693
        B"01010010" when B"1000111110",  -- INDEX 574
1694
        B"01110001" when B"1000111111",  -- INDEX 575
1695
        B"01111111" when B"1001000000",  -- INDEX 576
1696
        B"01111010" when B"1001000001",  -- INDEX 577
1697
        B"01100001" when B"1001000010",  -- INDEX 578
1698
        B"00111010" when B"1001000011",  -- INDEX 579
1699
        B"00001001" when B"1001000100",  -- INDEX 580
1700
        B"11011000" when B"1001000101",  -- INDEX 581
1701
        B"10101100" when B"1001000110",  -- INDEX 582
1702
        B"10001101" when B"1001000111",  -- INDEX 583
1703
        B"10000000" when B"1001001000",  -- INDEX 584
1704
        B"10000111" when B"1001001001",  -- INDEX 585
1705
        B"10100001" when B"1001001010",  -- INDEX 586
1706
        B"11001001" when B"1001001011",  -- INDEX 587
1707
        B"11111010" when B"1001001100",  -- INDEX 588
1708
        B"00101100" when B"1001001101",  -- INDEX 589
1709
        B"01010111" when B"1001001110",  -- INDEX 590
1710
        B"01110100" when B"1001001111",  -- INDEX 591
1711
        B"01111111" when B"1001010000",  -- INDEX 592
1712
        B"01111000" when B"1001010001",  -- INDEX 593
1713
        B"01011101" when B"1001010010",  -- INDEX 594
1714
        B"00110100" when B"1001010011",  -- INDEX 595
1715
        B"00000010" when B"1001010100",  -- INDEX 596
1716
        B"11010001" when B"1001010101",  -- INDEX 597
1717
        B"10100111" when B"1001010110",  -- INDEX 598
1718
        B"10001010" when B"1001010111",  -- INDEX 599
1719
        B"10000000" when B"1001011000",  -- INDEX 600
1720
        B"10001010" when B"1001011001",  -- INDEX 601
1721
        B"10100110" when B"1001011010",  -- INDEX 602
1722
        B"11010000" when B"1001011011",  -- INDEX 603
1723
        B"00000001" when B"1001011100",  -- INDEX 604
1724
        B"00110011" when B"1001011101",  -- INDEX 605
1725
        B"01011100" when B"1001011110",  -- INDEX 606
1726
        B"01110111" when B"1001011111",  -- INDEX 607
1727
        B"01111111" when B"1001100000",  -- INDEX 608
1728
        B"01110101" when B"1001100001",  -- INDEX 609
1729
        B"01010111" when B"1001100010",  -- INDEX 610
1730
        B"00101101" when B"1001100011",  -- INDEX 611
1731
        B"11111011" when B"1001100100",  -- INDEX 612
1732
        B"11001010" when B"1001100101",  -- INDEX 613
1733
        B"10100001" when B"1001100110",  -- INDEX 614
1734
        B"10000111" when B"1001100111",  -- INDEX 615
1735
        B"10000000" when B"1001101000",  -- INDEX 616
1736
        B"10001101" when B"1001101001",  -- INDEX 617
1737
        B"10101011" when B"1001101010",  -- INDEX 618
1738
        B"11010111" when B"1001101011",  -- INDEX 619
1739
        B"00001001" when B"1001101100",  -- INDEX 620
1740
        B"00111001" when B"1001101101",  -- INDEX 621
1741
        B"01100001" when B"1001101110",  -- INDEX 622
1742
        B"01111010" when B"1001101111",  -- INDEX 623
1743
        B"01111111" when B"1001110000",  -- INDEX 624
1744
        B"01110010" when B"1001110001",  -- INDEX 625
1745
        B"01010010" when B"1001110010",  -- INDEX 626
1746
        B"00100110" when B"1001110011",  -- INDEX 627
1747
        B"11110100" when B"1001110100",  -- INDEX 628
1748
        B"11000100" when B"1001110101",  -- INDEX 629
1749
        B"10011101" when B"1001110110",  -- INDEX 630
1750
        B"10000101" when B"1001110111",  -- INDEX 631
1751
        B"10000001" when B"1001111000",  -- INDEX 632
1752
        B"10010000" when B"1001111001",  -- INDEX 633
1753
        B"10110000" when B"1001111010",  -- INDEX 634
1754
        B"11011100" when B"1001111011",  -- INDEX 635
1755
        B"00001110" when B"1001111100",  -- INDEX 636
1756
        B"00111110" when B"1001111101",  -- INDEX 637
1757
        B"01100101" when B"1001111110",  -- INDEX 638
1758
        B"01111011" when B"1001111111",  -- INDEX 639
1759
        B"01111111" when B"1010000000",  -- INDEX 640
1760
        B"01101111" when B"1010000001",  -- INDEX 641
1761
        B"01001110" when B"1010000010",  -- INDEX 642
1762
        B"00100001" when B"1010000011",  -- INDEX 643
1763
        B"11101111" when B"1010000100",  -- INDEX 644
1764
        B"11000000" when B"1010000101",  -- INDEX 645
1765
        B"10011010" when B"1010000110",  -- INDEX 646
1766
        B"10000100" when B"1010000111",  -- INDEX 647
1767
        B"10000001" when B"1010001000",  -- INDEX 648
1768
        B"10010010" when B"1010001001",  -- INDEX 649
1769
        B"10110011" when B"1010001010",  -- INDEX 650
1770
        B"11100001" when B"1010001011",  -- INDEX 651
1771
        B"00010011" when B"1010001100",  -- INDEX 652
1772
        B"01000010" when B"1010001101",  -- INDEX 653
1773
        B"01100111" when B"1010001110",  -- INDEX 654
1774
        B"01111100" when B"1010001111",  -- INDEX 655
1775
        B"01111110" when B"1010010000",  -- INDEX 656
1776
        B"01101101" when B"1010010001",  -- INDEX 657
1777
        B"01001011" when B"1010010010",  -- INDEX 658
1778
        B"00011101" when B"1010010011",  -- INDEX 659
1779
        B"11101011" when B"1010010100",  -- INDEX 660
1780
        B"10111100" when B"1010010101",  -- INDEX 661
1781
        B"10011000" when B"1010010110",  -- INDEX 662
1782
        B"10000011" when B"1010010111",  -- INDEX 663
1783
        B"10000010" when B"1010011000",  -- INDEX 664
1784
        B"10010100" when B"1010011001",  -- INDEX 665
1785
        B"10110110" when B"1010011010",  -- INDEX 666
1786
        B"11100100" when B"1010011011",  -- INDEX 667
1787
        B"00010110" when B"1010011100",  -- INDEX 668
1788
        B"01000101" when B"1010011101",  -- INDEX 669
1789
        B"01101001" when B"1010011110",  -- INDEX 670
1790
        B"01111101" when B"1010011111",  -- INDEX 671
1791
        B"01111110" when B"1010100000",  -- INDEX 672
1792
        B"01101100" when B"1010100001",  -- INDEX 673
1793
        B"01001001" when B"1010100010",  -- INDEX 674
1794
        B"00011011" when B"1010100011",  -- INDEX 675
1795
        B"11101001" when B"1010100100",  -- INDEX 676
1796
        B"10111010" when B"1010100101",  -- INDEX 677
1797
        B"10010110" when B"1010100110",  -- INDEX 678
1798
        B"10000011" when B"1010100111",  -- INDEX 679
1799
        B"10000010" when B"1010101000",  -- INDEX 680
1800
        B"10010101" when B"1010101001",  -- INDEX 681
1801
        B"10111000" when B"1010101010",  -- INDEX 682
1802
        B"11100110" when B"1010101011",  -- INDEX 683
1803
        B"00011000" when B"1010101100",  -- INDEX 684
1804
        B"01000110" when B"1010101101",  -- INDEX 685
1805
        B"01101010" when B"1010101110",  -- INDEX 686
1806
        B"01111101" when B"1010101111",  -- INDEX 687
1807
        B"01111110" when B"1010110000",  -- INDEX 688
1808
        B"01101011" when B"1010110001",  -- INDEX 689
1809
        B"01001000" when B"1010110010",  -- INDEX 690
1810
        B"00011001" when B"1010110011",  -- INDEX 691
1811
        B"11100111" when B"1010110100",  -- INDEX 692
1812
        B"10111001" when B"1010110101",  -- INDEX 693
1813
        B"10010110" when B"1010110110",  -- INDEX 694
1814
        B"10000011" when B"1010110111",  -- INDEX 695
1815
        B"10000010" when B"1010111000",  -- INDEX 696
1816
        B"10010101" when B"1010111001",  -- INDEX 697
1817
        B"10111001" when B"1010111010",  -- INDEX 698
1818
        B"11100111" when B"1010111011",  -- INDEX 699
1819
        B"00011001" when B"1010111100",  -- INDEX 700
1820
        B"01000111" when B"1010111101",  -- INDEX 701
1821
        B"01101010" when B"1010111110",  -- INDEX 702
1822
        B"01111101" when B"1010111111",  -- INDEX 703
1823
        B"01111110" when B"1011000000",  -- INDEX 704
1824
        B"01101011" when B"1011000001",  -- INDEX 705
1825
        B"01000111" when B"1011000010",  -- INDEX 706
1826
        B"00011001" when B"1011000011",  -- INDEX 707
1827
        B"11100111" when B"1011000100",  -- INDEX 708
1828
        B"10111001" when B"1011000101",  -- INDEX 709
1829
        B"10010110" when B"1011000110",  -- INDEX 710
1830
        B"10000011" when B"1011000111",  -- INDEX 711
1831
        B"10000010" when B"1011001000",  -- INDEX 712
1832
        B"10010101" when B"1011001001",  -- INDEX 713
1833
        B"10111000" when B"1011001010",  -- INDEX 714
1834
        B"11100110" when B"1011001011",  -- INDEX 715
1835
        B"00011000" when B"1011001100",  -- INDEX 716
1836
        B"01000110" when B"1011001101",  -- INDEX 717
1837
        B"01101010" when B"1011001110",  -- INDEX 718
1838
        B"01111101" when B"1011001111",  -- INDEX 719
1839
        B"01111110" when B"1011010000",  -- INDEX 720
1840
        B"01101011" when B"1011010001",  -- INDEX 721
1841
        B"01001000" when B"1011010010",  -- INDEX 722
1842
        B"00011010" when B"1011010011",  -- INDEX 723
1843
        B"11101001" when B"1011010100",  -- INDEX 724
1844
        B"10111010" when B"1011010101",  -- INDEX 725
1845
        B"10010111" when B"1011010110",  -- INDEX 726
1846
        B"10000011" when B"1011010111",  -- INDEX 727
1847
        B"10000010" when B"1011011000",  -- INDEX 728
1848
        B"10010100" when B"1011011001",  -- INDEX 729
1849
        B"10110111" when B"1011011010",  -- INDEX 730
1850
        B"11100100" when B"1011011011",  -- INDEX 731
1851
        B"00010110" when B"1011011100",  -- INDEX 732
1852
        B"01000101" when B"1011011101",  -- INDEX 733
1853
        B"01101001" when B"1011011110",  -- INDEX 734
1854
        B"01111101" when B"1011011111",  -- INDEX 735
1855
        B"01111110" when B"1011100000",  -- INDEX 736
1856
        B"01101100" when B"1011100001",  -- INDEX 737
1857
        B"01001010" when B"1011100010",  -- INDEX 738
1858
        B"00011101" when B"1011100011",  -- INDEX 739
1859
        B"11101011" when B"1011100100",  -- INDEX 740
1860
        B"10111101" when B"1011100101",  -- INDEX 741
1861
        B"10011000" when B"1011100110",  -- INDEX 742
1862
        B"10000011" when B"1011100111",  -- INDEX 743
1863
        B"10000010" when B"1011101000",  -- INDEX 744
1864
        B"10010011" when B"1011101001",  -- INDEX 745
1865
        B"10110100" when B"1011101010",  -- INDEX 746
1866
        B"11100001" when B"1011101011",  -- INDEX 747
1867
        B"00010011" when B"1011101100",  -- INDEX 748
1868
        B"01000010" when B"1011101101",  -- INDEX 749
1869
        B"01100111" when B"1011101110",  -- INDEX 750
1870
        B"01111100" when B"1011101111",  -- INDEX 751
1871
        B"01111111" when B"1011110000",  -- INDEX 752
1872
        B"01101110" when B"1011110001",  -- INDEX 753
1873
        B"01001101" when B"1011110010",  -- INDEX 754
1874
        B"00100000" when B"1011110011",  -- INDEX 755
1875
        B"11101111" when B"1011110100",  -- INDEX 756
1876
        B"11000000" when B"1011110101",  -- INDEX 757
1877
        B"10011011" when B"1011110110",  -- INDEX 758
1878
        B"10000100" when B"1011110111",  -- INDEX 759
1879
        B"10000001" when B"1011111000",  -- INDEX 760
1880
        B"10010001" when B"1011111001",  -- INDEX 761
1881
        B"10110001" when B"1011111010",  -- INDEX 762
1882
        B"11011101" when B"1011111011",  -- INDEX 763
1883
        B"00001111" when B"1011111100",  -- INDEX 764
1884
        B"00111110" when B"1011111101",  -- INDEX 765
1885
        B"01100100" when B"1011111110",  -- INDEX 766
1886
        B"01111011" when B"1011111111",  -- INDEX 767
1887
        B"01111111" when B"1100000000",  -- INDEX 768
1888
        B"01110001" when B"1100000001",  -- INDEX 769
1889
        B"01010001" when B"1100000010",  -- INDEX 770
1890
        B"00100101" when B"1100000011",  -- INDEX 771
1891
        B"11110100" when B"1100000100",  -- INDEX 772
1892
        B"11000100" when B"1100000101",  -- INDEX 773
1893
        B"10011110" when B"1100000110",  -- INDEX 774
1894
        B"10000110" when B"1100000111",  -- INDEX 775
1895
        B"10000000" when B"1100001000",  -- INDEX 776
1896
        B"10001110" when B"1100001001",  -- INDEX 777
1897
        B"10101101" when B"1100001010",  -- INDEX 778
1898
        B"11011000" when B"1100001011",  -- INDEX 779
1899
        B"00001001" when B"1100001100",  -- INDEX 780
1900
        B"00111001" when B"1100001101",  -- INDEX 781
1901
        B"01100000" when B"1100001110",  -- INDEX 782
1902
        B"01111001" when B"1100001111",  -- INDEX 783
1903
        B"01111111" when B"1100010000",  -- INDEX 784
1904
        B"01110011" when B"1100010001",  -- INDEX 785
1905
        B"01010110" when B"1100010010",  -- INDEX 786
1906
        B"00101011" when B"1100010011",  -- INDEX 787
1907
        B"11111010" when B"1100010100",  -- INDEX 788
1908
        B"11001010" when B"1100010101",  -- INDEX 789
1909
        B"10100010" when B"1100010110",  -- INDEX 790
1910
        B"10001000" when B"1100010111",  -- INDEX 791
1911
        B"10000000" when B"1100011000",  -- INDEX 792
1912
        B"10001011" when B"1100011001",  -- INDEX 793
1913
        B"10101000" when B"1100011010",  -- INDEX 794
1914
        B"11010001" when B"1100011011",  -- INDEX 795
1915
        B"00000010" when B"1100011100",  -- INDEX 796
1916
        B"00110010" when B"1100011101",  -- INDEX 797
1917
        B"01011011" when B"1100011110",  -- INDEX 798
1918
        B"01110110" when B"1100011111",  -- INDEX 799
1919
        B"01111111" when B"1100100000",  -- INDEX 800
1920
        B"01110110" when B"1100100001",  -- INDEX 801
1921
        B"01011011" when B"1100100010",  -- INDEX 802
1922
        B"00110010" when B"1100100011",  -- INDEX 803
1923
        B"00000010" when B"1100100100",  -- INDEX 804
1924
        B"11010001" when B"1100100101",  -- INDEX 805
1925
        B"10101000" when B"1100100110",  -- INDEX 806
1926
        B"10001011" when B"1100100111",  -- INDEX 807
1927
        B"10000000" when B"1100101000",  -- INDEX 808
1928
        B"10001000" when B"1100101001",  -- INDEX 809
1929
        B"10100010" when B"1100101010",  -- INDEX 810
1930
        B"11001010" when B"1100101011",  -- INDEX 811
1931
        B"11111010" when B"1100101100",  -- INDEX 812
1932
        B"00101011" when B"1100101101",  -- INDEX 813
1933
        B"01010110" when B"1100101110",  -- INDEX 814
1934
        B"01110011" when B"1100101111",  -- INDEX 815
1935
        B"01111111" when B"1100110000",  -- INDEX 816
1936
        B"01111001" when B"1100110001",  -- INDEX 817
1937
        B"01100000" when B"1100110010",  -- INDEX 818
1938
        B"00111001" when B"1100110011",  -- INDEX 819
1939
        B"00001001" when B"1100110100",  -- INDEX 820
1940
        B"11011000" when B"1100110101",  -- INDEX 821
1941
        B"10101101" when B"1100110110",  -- INDEX 822
1942
        B"10001110" when B"1100110111",  -- INDEX 823
1943
        B"10000000" when B"1100111000",  -- INDEX 824
1944
        B"10000110" when B"1100111001",  -- INDEX 825
1945
        B"10011110" when B"1100111010",  -- INDEX 826
1946
        B"11000100" when B"1100111011",  -- INDEX 827
1947
        B"11110100" when B"1100111100",  -- INDEX 828
1948
        B"00100101" when B"1100111101",  -- INDEX 829
1949
        B"01010001" when B"1100111110",  -- INDEX 830
1950
        B"01110001" when B"1100111111",  -- INDEX 831
1951
        B"01111111" when B"1101000000",  -- INDEX 832
1952
        B"01111011" when B"1101000001",  -- INDEX 833
1953
        B"01100100" when B"1101000010",  -- INDEX 834
1954
        B"00111110" when B"1101000011",  -- INDEX 835
1955
        B"00001111" when B"1101000100",  -- INDEX 836
1956
        B"11011101" when B"1101000101",  -- INDEX 837
1957
        B"10110001" when B"1101000110",  -- INDEX 838
1958
        B"10010001" when B"1101000111",  -- INDEX 839
1959
        B"10000001" when B"1101001000",  -- INDEX 840
1960
        B"10000100" when B"1101001001",  -- INDEX 841
1961
        B"10011010" when B"1101001010",  -- INDEX 842
1962
        B"11000000" when B"1101001011",  -- INDEX 843
1963
        B"11101111" when B"1101001100",  -- INDEX 844
1964
        B"00100000" when B"1101001101",  -- INDEX 845
1965
        B"01001101" when B"1101001110",  -- INDEX 846
1966
        B"01101110" when B"1101001111",  -- INDEX 847
1967
        B"01111111" when B"1101010000",  -- INDEX 848
1968
        B"01111100" when B"1101010001",  -- INDEX 849
1969
        B"01100111" when B"1101010010",  -- INDEX 850
1970
        B"01000010" when B"1101010011",  -- INDEX 851
1971
        B"00010011" when B"1101010100",  -- INDEX 852
1972
        B"11100010" when B"1101010101",  -- INDEX 853
1973
        B"10110101" when B"1101010110",  -- INDEX 854
1974
        B"10010011" when B"1101010111",  -- INDEX 855
1975
        B"10000010" when B"1101011000",  -- INDEX 856
1976
        B"10000011" when B"1101011001",  -- INDEX 857
1977
        B"10011000" when B"1101011010",  -- INDEX 858
1978
        B"10111100" when B"1101011011",  -- INDEX 859
1979
        B"11101011" when B"1101011100",  -- INDEX 860
1980
        B"00011101" when B"1101011101",  -- INDEX 861
1981
        B"01001010" when B"1101011110",  -- INDEX 862
1982
        B"01101100" when B"1101011111",  -- INDEX 863
1983
        B"01111110" when B"1101100000",  -- INDEX 864
1984
        B"01111101" when B"1101100001",  -- INDEX 865
1985
        B"01101001" when B"1101100010",  -- INDEX 866
1986
        B"01000101" when B"1101100011",  -- INDEX 867
1987
        B"00010111" when B"1101100100",  -- INDEX 868
1988
        B"11100101" when B"1101100101",  -- INDEX 869
1989
        B"10110111" when B"1101100110",  -- INDEX 870
1990
        B"10010101" when B"1101100111",  -- INDEX 871
1991
        B"10000010" when B"1101101000",  -- INDEX 872
1992
        B"10000011" when B"1101101001",  -- INDEX 873
1993
        B"10010110" when B"1101101010",  -- INDEX 874
1994
        B"10111010" when B"1101101011",  -- INDEX 875
1995
        B"11101000" when B"1101101100",  -- INDEX 876
1996
        B"00011010" when B"1101101101",  -- INDEX 877
1997
        B"01001000" when B"1101101110",  -- INDEX 878
1998
        B"01101011" when B"1101101111",  -- INDEX 879
1999
        B"01111110" when B"1101110000",  -- INDEX 880
2000
        B"01111101" when B"1101110001",  -- INDEX 881
2001
        B"01101010" when B"1101110010",  -- INDEX 882
2002
        B"01000111" when B"1101110011",  -- INDEX 883
2003
        B"00011001" when B"1101110100",  -- INDEX 884
2004
        B"11100111" when B"1101110101",  -- INDEX 885
2005
        B"10111001" when B"1101110110",  -- INDEX 886
2006
        B"10010101" when B"1101110111",  -- INDEX 887
2007
        B"10000010" when B"1101111000",  -- INDEX 888
2008
        B"10000010" when B"1101111001",  -- INDEX 889
2009
        B"10010110" when B"1101111010",  -- INDEX 890
2010
        B"10111001" when B"1101111011",  -- INDEX 891
2011
        B"11100111" when B"1101111100",  -- INDEX 892
2012
        B"00011001" when B"1101111101",  -- INDEX 893
2013
        B"01000111" when B"1101111110",  -- INDEX 894
2014
        B"01101010" when B"1101111111",  -- INDEX 895
2015
        B"01111110" when B"1110000000",  -- INDEX 896
2016
        B"01111110" when B"1110000001",  -- INDEX 897
2017
        B"01101011" when B"1110000010",  -- INDEX 898
2018
        B"01000111" when B"1110000011",  -- INDEX 899
2019
        B"00011001" when B"1110000100",  -- INDEX 900
2020
        B"11100111" when B"1110000101",  -- INDEX 901
2021
        B"10111001" when B"1110000110",  -- INDEX 902
2022
        B"10010110" when B"1110000111",  -- INDEX 903
2023
        B"10000011" when B"1110001000",  -- INDEX 904
2024
        B"10000010" when B"1110001001",  -- INDEX 905
2025
        B"10010101" when B"1110001010",  -- INDEX 906
2026
        B"10111001" when B"1110001011",  -- INDEX 907
2027
        B"11100111" when B"1110001100",  -- INDEX 908
2028
        B"00011001" when B"1110001101",  -- INDEX 909
2029
        B"01000111" when B"1110001110",  -- INDEX 910
2030
        B"01101010" when B"1110001111",  -- INDEX 911
2031
        B"01111110" when B"1110010000",  -- INDEX 912
2032
        B"01111110" when B"1110010001",  -- INDEX 913
2033
        B"01101010" when B"1110010010",  -- INDEX 914
2034
        B"01000111" when B"1110010011",  -- INDEX 915
2035
        B"00011001" when B"1110010100",  -- INDEX 916
2036
        B"11100111" when B"1110010101",  -- INDEX 917
2037
        B"10111000" when B"1110010110",  -- INDEX 918
2038
        B"10010101" when B"1110010111",  -- INDEX 919
2039
        B"10000010" when B"1110011000",  -- INDEX 920
2040
        B"10000011" when B"1110011001",  -- INDEX 921
2041
        B"10010110" when B"1110011010",  -- INDEX 922
2042
        B"10111010" when B"1110011011",  -- INDEX 923
2043
        B"11101000" when B"1110011100",  -- INDEX 924
2044
        B"00011010" when B"1110011101",  -- INDEX 925
2045
        B"01001000" when B"1110011110",  -- INDEX 926
2046
        B"01101011" when B"1110011111",  -- INDEX 927
2047
        B"01111110" when B"1110100000",  -- INDEX 928
2048
        B"01111101" when B"1110100001",  -- INDEX 929
2049
        B"01101001" when B"1110100010",  -- INDEX 930
2050
        B"01000101" when B"1110100011",  -- INDEX 931
2051
        B"00010111" when B"1110100100",  -- INDEX 932
2052
        B"11100101" when B"1110100101",  -- INDEX 933
2053
        B"10110111" when B"1110100110",  -- INDEX 934
2054
        B"10010100" when B"1110100111",  -- INDEX 935
2055
        B"10000010" when B"1110101000",  -- INDEX 936
2056
        B"10000011" when B"1110101001",  -- INDEX 937
2057
        B"10010111" when B"1110101010",  -- INDEX 938
2058
        B"10111100" when B"1110101011",  -- INDEX 939
2059
        B"11101011" when B"1110101100",  -- INDEX 940
2060
        B"00011101" when B"1110101101",  -- INDEX 941
2061
        B"01001010" when B"1110101110",  -- INDEX 942
2062
        B"01101101" when B"1110101111",  -- INDEX 943
2063
        B"01111110" when B"1110110000",  -- INDEX 944
2064
        B"01111101" when B"1110110001",  -- INDEX 945
2065
        B"01101000" when B"1110110010",  -- INDEX 946
2066
        B"01000011" when B"1110110011",  -- INDEX 947
2067
        B"00010100" when B"1110110100",  -- INDEX 948
2068
        B"11100010" when B"1110110101",  -- INDEX 949
2069
        B"10110100" when B"1110110110",  -- INDEX 950
2070
        B"10010010" when B"1110110111",  -- INDEX 951
2071
        B"10000001" when B"1110111000",  -- INDEX 952
2072
        B"10000100" when B"1110111001",  -- INDEX 953
2073
        B"10011010" when B"1110111010",  -- INDEX 954
2074
        B"10111111" when B"1110111011",  -- INDEX 955
2075
        B"11101110" when B"1110111100",  -- INDEX 956
2076
        B"00100001" when B"1110111101",  -- INDEX 957
2077
        B"01001110" when B"1110111110",  -- INDEX 958
2078
        B"01101111" when B"1110111111",  -- INDEX 959
2079
        B"01111111" when B"1111000000",  -- INDEX 960
2080
        B"01111011" when B"1111000001",  -- INDEX 961
2081
        B"01100101" when B"1111000010",  -- INDEX 962
2082
        B"00111111" when B"1111000011",  -- INDEX 963
2083
        B"00001111" when B"1111000100",  -- INDEX 964
2084
        B"11011101" when B"1111000101",  -- INDEX 965
2085
        B"10110000" when B"1111000110",  -- INDEX 966
2086
        B"10010000" when B"1111000111",  -- INDEX 967
2087
        B"10000001" when B"1111001000",  -- INDEX 968
2088
        B"10000101" when B"1111001001",  -- INDEX 969
2089
        B"10011101" when B"1111001010",  -- INDEX 970
2090
        B"11000011" when B"1111001011",  -- INDEX 971
2091
        B"11110011" when B"1111001100",  -- INDEX 972
2092
        B"00100110" when B"1111001101",  -- INDEX 973
2093
        B"01010010" when B"1111001110",  -- INDEX 974
2094
        B"01110001" when B"1111001111",  -- INDEX 975
2095
        B"01111111" when B"1111010000",  -- INDEX 976
2096
        B"01111010" when B"1111010001",  -- INDEX 977
2097
        B"01100001" when B"1111010010",  -- INDEX 978
2098
        B"00111010" when B"1111010011",  -- INDEX 979
2099
        B"00001001" when B"1111010100",  -- INDEX 980
2100
        B"11011000" when B"1111010101",  -- INDEX 981
2101
        B"10101100" when B"1111010110",  -- INDEX 982
2102
        B"10001101" when B"1111010111",  -- INDEX 983
2103
        B"10000000" when B"1111011000",  -- INDEX 984
2104
        B"10000111" when B"1111011001",  -- INDEX 985
2105
        B"10100001" when B"1111011010",  -- INDEX 986
2106
        B"11001001" when B"1111011011",  -- INDEX 987
2107
        B"11111010" when B"1111011100",  -- INDEX 988
2108
        B"00101100" when B"1111011101",  -- INDEX 989
2109
        B"01010111" when B"1111011110",  -- INDEX 990
2110
        B"01110100" when B"1111011111",  -- INDEX 991
2111
        B"01111111" when B"1111100000",  -- INDEX 992
2112
        B"01111000" when B"1111100001",  -- INDEX 993
2113
        B"01011101" when B"1111100010",  -- INDEX 994
2114
        B"00110100" when B"1111100011",  -- INDEX 995
2115
        B"00000010" when B"1111100100",  -- INDEX 996
2116
        B"11010001" when B"1111100101",  -- INDEX 997
2117
        B"10100111" when B"1111100110",  -- INDEX 998
2118
        B"10001010" when B"1111100111",  -- INDEX 999
2119
 
2120
-- END INPUT FM SIGNAL
2121
        B"00000000" when others;
2122
 
2123
end input_data;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.