OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [bench/] [input_fm.vhdl] - Blame information for rev 40

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 14 arif_endro
-- $Id: input_fm.vhdl,v 1.4 2005-03-04 08:03:59 arif_endro Exp $
2 2 arif_endro
-------------------------------------------------------------------------------
3
-- Title       : Input signal FM
4
-- Project     : FM Receiver 
5
-------------------------------------------------------------------------------
6
-- File        : input_fm.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2004/12/22
9
-- Last update : 
10 13 arif_endro
-- Simulators  :
11 2 arif_endro
-- Synthesizers: 
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Input signal FM 1000 signal
15
-------------------------------------------------------------------------------
16 40 arif_endro
-- Copyright (C) 2004 Arif Endro Nugroho
17 2 arif_endro
-------------------------------------------------------------------------------
18 13 arif_endro
-- 
19
--      THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
20
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
21
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
22
-- ASSOCIATED DISCLAIMER.
23
-- 
24
-------------------------------------------------------------------------------
25
-- 
26
--      THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
27
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
28
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
29
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
30
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
31
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
32
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
33
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
34
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
35
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
36
-- 
37
-------------------------------------------------------------------------------
38 2 arif_endro
 
39
library IEEE;
40
use IEEE.std_logic_1164.all;
41
 
42
entity input_fm is
43
   port (
44
   clock              : in  bit;
45
   clear              : in  bit;
46
   test_signal_fm     : out bit_vector (07 downto 0);
47
   test_signal_fmTri  : out bit_vector (07 downto 0)
48
   );
49
end input_fm;
50
 
51
architecture input_data of input_fm is
52
component adder_10bit
53
        port (
54
        addend_10bit          : in  bit_vector (09 downto 0);
55
        augend_10bit          : in  bit_vector (09 downto 0);
56
        adder10_output        : out bit_vector (10 downto 0)
57
        );
58
end component;
59
 
60
signal test_signal_fm_int    : bit_vector (07 downto 0);
61
signal test_signal_fmTri_int : bit_vector (07 downto 0);
62
signal counter               : bit_vector (09 downto 0);
63
signal counter_tmp           : bit_vector (10 downto 0);
64
signal one_increment         : bit_vector (09 downto 0);
65 14 arif_endro
 
66 2 arif_endro
begin
67
 
68
 
69
    one_increment (00) <= '1';
70
    one_increment (01) <= '0';
71
    one_increment (02) <= '0';
72
    one_increment (03) <= '0';
73
    one_increment (04) <= '0';
74
    one_increment (05) <= '0';
75
    one_increment (06) <= '0';
76
    one_increment (07) <= '0';
77
    one_increment (08) <= '0';
78
    one_increment (09) <= '0';
79
 
80
counter_one : adder_10bit
81
    port map (
82
    addend_10bit   => counter,
83
    augend_10bit   => one_increment,
84
    adder10_output => counter_tmp
85
    );
86
 
87
process (clock, clear)
88
begin
89 14 arif_endro
 
90 4 arif_endro
    if (clear = '1') then
91 14 arif_endro
                counter              <= (others => '0');
92
                test_signal_fm       <= (others => '0');
93
                test_signal_fmTri    <= (others => '0');
94 4 arif_endro
    elsif (((clock = '1') and (not( clear = '1'))) and clock'event) then
95 2 arif_endro
                counter(09 downto 0) <= counter_tmp(09 downto 0);
96 14 arif_endro
                test_signal_fm       <= test_signal_fm_int;
97
                test_signal_fmTri    <= test_signal_fmTri_int;
98 2 arif_endro
    end if;
99 14 arif_endro
 
100 2 arif_endro
end process;
101
 
102
        with counter (09 downto 0) select
103 14 arif_endro
 
104 2 arif_endro
        test_signal_fm_int <=
105 14 arif_endro
 
106 2 arif_endro
-- START INPUT FM SIGNAL
107
 
108
        B"01111111" when B"0000000000",  -- INDEX 0
109
        B"01110110" when B"0000000001",  -- INDEX 1
110
        B"01011010" when B"0000000010",  -- INDEX 2
111
        B"00110000" when B"0000000011",  -- INDEX 3
112
        B"11111110" when B"0000000100",  -- INDEX 4
113
        B"11001101" when B"0000000101",  -- INDEX 5
114
        B"10100011" when B"0000000110",  -- INDEX 6
115
        B"10001000" when B"0000000111",  -- INDEX 7
116
        B"10000000" when B"0000001000",  -- INDEX 8
117
        B"10001100" when B"0000001001",  -- INDEX 9
118
        B"10101001" when B"0000001010",  -- INDEX 10
119
        B"11010100" when B"0000001011",  -- INDEX 11
120
        B"00000110" when B"0000001100",  -- INDEX 12
121
        B"00110111" when B"0000001101",  -- INDEX 13
122
        B"01011111" when B"0000001110",  -- INDEX 14
123
        B"01111001" when B"0000001111",  -- INDEX 15
124
        B"01111111" when B"0000010000",  -- INDEX 16
125
        B"01110011" when B"0000010001",  -- INDEX 17
126
        B"01010100" when B"0000010010",  -- INDEX 18
127
        B"00101000" when B"0000010011",  -- INDEX 19
128
        B"11110110" when B"0000010100",  -- INDEX 20
129
        B"11000101" when B"0000010101",  -- INDEX 21
130
        B"10011110" when B"0000010110",  -- INDEX 22
131
        B"10000110" when B"0000010111",  -- INDEX 23
132
        B"10000001" when B"0000011000",  -- INDEX 24
133
        B"10001111" when B"0000011001",  -- INDEX 25
134
        B"10101111" when B"0000011010",  -- INDEX 26
135
        B"11011100" when B"0000011011",  -- INDEX 27
136
        B"00001110" when B"0000011100",  -- INDEX 28
137
        B"00111110" when B"0000011101",  -- INDEX 29
138
        B"01100101" when B"0000011110",  -- INDEX 30
139
        B"01111011" when B"0000011111",  -- INDEX 31
140
        B"01111111" when B"0000100000",  -- INDEX 32
141
        B"01101111" when B"0000100001",  -- INDEX 33
142
        B"01001110" when B"0000100010",  -- INDEX 34
143
        B"00100000" when B"0000100011",  -- INDEX 35
144
        B"11101110" when B"0000100100",  -- INDEX 36
145
        B"10111110" when B"0000100101",  -- INDEX 37
146
        B"10011001" when B"0000100110",  -- INDEX 38
147
        B"10000100" when B"0000100111",  -- INDEX 39
148
        B"10000010" when B"0000101000",  -- INDEX 40
149
        B"10010011" when B"0000101001",  -- INDEX 41
150
        B"10110110" when B"0000101010",  -- INDEX 42
151
        B"11100100" when B"0000101011",  -- INDEX 43
152
        B"00010110" when B"0000101100",  -- INDEX 44
153
        B"01000101" when B"0000101101",  -- INDEX 45
154
        B"01101001" when B"0000101110",  -- INDEX 46
155
        B"01111101" when B"0000101111",  -- INDEX 47
156
        B"01111110" when B"0000110000",  -- INDEX 48
157
        B"01101011" when B"0000110001",  -- INDEX 49
158
        B"01000111" when B"0000110010",  -- INDEX 50
159
        B"00011000" when B"0000110011",  -- INDEX 51
160
        B"11100110" when B"0000110100",  -- INDEX 52
161
        B"10111000" when B"0000110101",  -- INDEX 53
162
        B"10010100" when B"0000110110",  -- INDEX 54
163
        B"10000010" when B"0000110111",  -- INDEX 55
164
        B"10000011" when B"0000111000",  -- INDEX 56
165
        B"10011000" when B"0000111001",  -- INDEX 57
166
        B"10111100" when B"0000111010",  -- INDEX 58
167
        B"11101011" when B"0000111011",  -- INDEX 59
168
        B"00011110" when B"0000111100",  -- INDEX 60
169
        B"01001100" when B"0000111101",  -- INDEX 61
170
        B"01101110" when B"0000111110",  -- INDEX 62
171
        B"01111111" when B"0000111111",  -- INDEX 63
172
        B"01111100" when B"0001000000",  -- INDEX 64
173
        B"01100110" when B"0001000001",  -- INDEX 65
174
        B"01000000" when B"0001000010",  -- INDEX 66
175
        B"00010001" when B"0001000011",  -- INDEX 67
176
        B"11011110" when B"0001000100",  -- INDEX 68
177
        B"10110001" when B"0001000101",  -- INDEX 69
178
        B"10010000" when B"0001000110",  -- INDEX 70
179
        B"10000001" when B"0001000111",  -- INDEX 71
180
        B"10000101" when B"0001001000",  -- INDEX 72
181
        B"10011100" when B"0001001001",  -- INDEX 73
182
        B"11000011" when B"0001001010",  -- INDEX 74
183
        B"11110011" when B"0001001011",  -- INDEX 75
184
        B"00100110" when B"0001001100",  -- INDEX 76
185
        B"01010010" when B"0001001101",  -- INDEX 77
186
        B"01110010" when B"0001001110",  -- INDEX 78
187
        B"01111111" when B"0001001111",  -- INDEX 79
188
        B"01111010" when B"0001010000",  -- INDEX 80
189
        B"01100001" when B"0001010001",  -- INDEX 81
190
        B"00111001" when B"0001010010",  -- INDEX 82
191
        B"00001001" when B"0001010011",  -- INDEX 83
192
        B"11010111" when B"0001010100",  -- INDEX 84
193
        B"10101011" when B"0001010101",  -- INDEX 85
194
        B"10001101" when B"0001010110",  -- INDEX 86
195
        B"10000000" when B"0001010111",  -- INDEX 87
196
        B"10001000" when B"0001011000",  -- INDEX 88
197
        B"10100010" when B"0001011001",  -- INDEX 89
198
        B"11001010" when B"0001011010",  -- INDEX 90
199
        B"11111011" when B"0001011011",  -- INDEX 91
200
        B"00101101" when B"0001011100",  -- INDEX 92
201
        B"01011000" when B"0001011101",  -- INDEX 93
202
        B"01110101" when B"0001011110",  -- INDEX 94
203
        B"01111111" when B"0001011111",  -- INDEX 95
204
        B"01110111" when B"0001100000",  -- INDEX 96
205
        B"01011100" when B"0001100001",  -- INDEX 97
206
        B"00110010" when B"0001100010",  -- INDEX 98
207
        B"00000001" when B"0001100011",  -- INDEX 99
208
        B"11001111" when B"0001100100",  -- INDEX 100
209
        B"10100101" when B"0001100101",  -- INDEX 101
210
        B"10001001" when B"0001100110",  -- INDEX 102
211
        B"10000000" when B"0001100111",  -- INDEX 103
212
        B"10001011" when B"0001101000",  -- INDEX 104
213
        B"10100111" when B"0001101001",  -- INDEX 105
214
        B"11010010" when B"0001101010",  -- INDEX 106
215
        B"00000100" when B"0001101011",  -- INDEX 107
216
        B"00110101" when B"0001101100",  -- INDEX 108
217
        B"01011110" when B"0001101101",  -- INDEX 109
218
        B"01111000" when B"0001101110",  -- INDEX 110
219
        B"01111111" when B"0001101111",  -- INDEX 111
220
        B"01110100" when B"0001110000",  -- INDEX 112
221
        B"01010110" when B"0001110001",  -- INDEX 113
222
        B"00101010" when B"0001110010",  -- INDEX 114
223
        B"11111000" when B"0001110011",  -- INDEX 115
224
        B"11001000" when B"0001110100",  -- INDEX 116
225
        B"10100000" when B"0001110101",  -- INDEX 117
226
        B"10000111" when B"0001110110",  -- INDEX 118
227
        B"10000000" when B"0001110111",  -- INDEX 119
228
        B"10001110" when B"0001111000",  -- INDEX 120
229
        B"10101101" when B"0001111001",  -- INDEX 121
230
        B"11011001" when B"0001111010",  -- INDEX 122
231
        B"00001100" when B"0001111011",  -- INDEX 123
232
        B"00111100" when B"0001111100",  -- INDEX 124
233
        B"01100011" when B"0001111101",  -- INDEX 125
234
        B"01111011" when B"0001111110",  -- INDEX 126
235
        B"01111111" when B"0001111111",  -- INDEX 127
236
        B"01110000" when B"0010000000",  -- INDEX 128
237
        B"01010000" when B"0010000001",  -- INDEX 129
238
        B"00100011" when B"0010000010",  -- INDEX 130
239
        B"11110000" when B"0010000011",  -- INDEX 131
240
        B"11000001" when B"0010000100",  -- INDEX 132
241
        B"10011011" when B"0010000101",  -- INDEX 133
242
        B"10000100" when B"0010000110",  -- INDEX 134
243
        B"10000001" when B"0010000111",  -- INDEX 135
244
        B"10010010" when B"0010001000",  -- INDEX 136
245
        B"10110100" when B"0010001001",  -- INDEX 137
246
        B"11100001" when B"0010001010",  -- INDEX 138
247
        B"00010100" when B"0010001011",  -- INDEX 139
248
        B"01000011" when B"0010001100",  -- INDEX 140
249
        B"01101000" when B"0010001101",  -- INDEX 141
250
        B"01111101" when B"0010001110",  -- INDEX 142
251
        B"01111110" when B"0010001111",  -- INDEX 143
252
        B"01101100" when B"0010010000",  -- INDEX 144
253
        B"01001001" when B"0010010001",  -- INDEX 145
254
        B"00011011" when B"0010010010",  -- INDEX 146
255
        B"11101001" when B"0010010011",  -- INDEX 147
256
        B"10111010" when B"0010010100",  -- INDEX 148
257
        B"10010110" when B"0010010101",  -- INDEX 149
258
        B"10000010" when B"0010010110",  -- INDEX 150
259
        B"10000011" when B"0010010111",  -- INDEX 151
260
        B"10010110" when B"0010011000",  -- INDEX 152
261
        B"10111010" when B"0010011001",  -- INDEX 153
262
        B"11101001" when B"0010011010",  -- INDEX 154
263
        B"00011011" when B"0010011011",  -- INDEX 155
264
        B"01001010" when B"0010011100",  -- INDEX 156
265
        B"01101100" when B"0010011101",  -- INDEX 157
266
        B"01111110" when B"0010011110",  -- INDEX 158
267
        B"01111101" when B"0010011111",  -- INDEX 159
268
        B"01101000" when B"0010100000",  -- INDEX 160
269
        B"01000010" when B"0010100001",  -- INDEX 161
270
        B"00010011" when B"0010100010",  -- INDEX 162
271
        B"11100001" when B"0010100011",  -- INDEX 163
272
        B"10110011" when B"0010100100",  -- INDEX 164
273
        B"10010010" when B"0010100101",  -- INDEX 165
274
        B"10000001" when B"0010100110",  -- INDEX 166
275
        B"10000100" when B"0010100111",  -- INDEX 167
276
        B"10011011" when B"0010101000",  -- INDEX 168
277
        B"11000001" when B"0010101001",  -- INDEX 169
278
        B"11110001" when B"0010101010",  -- INDEX 170
279
        B"00100011" when B"0010101011",  -- INDEX 171
280
        B"01010000" when B"0010101100",  -- INDEX 172
281
        B"01110000" when B"0010101101",  -- INDEX 173
282
        B"01111111" when B"0010101110",  -- INDEX 174
283
        B"01111010" when B"0010101111",  -- INDEX 175
284
        B"01100011" when B"0010110000",  -- INDEX 176
285
        B"00111011" when B"0010110001",  -- INDEX 177
286
        B"00001011" when B"0010110010",  -- INDEX 178
287
        B"11011001" when B"0010110011",  -- INDEX 179
288
        B"10101101" when B"0010110100",  -- INDEX 180
289
        B"10001110" when B"0010110101",  -- INDEX 181
290
        B"10000000" when B"0010110110",  -- INDEX 182
291
        B"10000111" when B"0010110111",  -- INDEX 183
292
        B"10100000" when B"0010111000",  -- INDEX 184
293
        B"11001000" when B"0010111001",  -- INDEX 185
294
        B"11111001" when B"0010111010",  -- INDEX 186
295
        B"00101011" when B"0010111011",  -- INDEX 187
296
        B"01010110" when B"0010111100",  -- INDEX 188
297
        B"01110100" when B"0010111101",  -- INDEX 189
298
        B"01111111" when B"0010111110",  -- INDEX 190
299
        B"01111000" when B"0010111111",  -- INDEX 191
300
        B"01011101" when B"0011000000",  -- INDEX 192
301
        B"00110100" when B"0011000001",  -- INDEX 193
302
        B"00000011" when B"0011000010",  -- INDEX 194
303
        B"11010001" when B"0011000011",  -- INDEX 195
304
        B"10100111" when B"0011000100",  -- INDEX 196
305
        B"10001010" when B"0011000101",  -- INDEX 197
306
        B"10000000" when B"0011000110",  -- INDEX 198
307
        B"10001010" when B"0011000111",  -- INDEX 199
308
        B"10100101" when B"0011001000",  -- INDEX 200
309
        B"11001111" when B"0011001001",  -- INDEX 201
310
        B"11111111" when B"0011001010",  -- INDEX 202
311
        B"00110000" when B"0011001011",  -- INDEX 203
312
        B"01011001" when B"0011001100",  -- INDEX 204
313
        B"01110101" when B"0011001101",  -- INDEX 205
314
        B"01111111" when B"0011001110",  -- INDEX 206
315
        B"01111000" when B"0011001111",  -- INDEX 207
316
        B"01011101" when B"0011010000",  -- INDEX 208
317
        B"00110101" when B"0011010001",  -- INDEX 209
318
        B"00000101" when B"0011010010",  -- INDEX 210
319
        B"11010100" when B"0011010011",  -- INDEX 211
320
        B"10101010" when B"0011010100",  -- INDEX 212
321
        B"10001100" when B"0011010101",  -- INDEX 213
322
        B"10000000" when B"0011010110",  -- INDEX 214
323
        B"10000111" when B"0011010111",  -- INDEX 215
324
        B"10100000" when B"0011011000",  -- INDEX 216
325
        B"11000111" when B"0011011001",  -- INDEX 217
326
        B"11110111" when B"0011011010",  -- INDEX 218
327
        B"00101000" when B"0011011011",  -- INDEX 219
328
        B"01010011" when B"0011011100",  -- INDEX 220
329
        B"01110010" when B"0011011101",  -- INDEX 221
330
        B"01111111" when B"0011011110",  -- INDEX 222
331
        B"01111010" when B"0011011111",  -- INDEX 223
332
        B"01100011" when B"0011100000",  -- INDEX 224
333
        B"00111100" when B"0011100001",  -- INDEX 225
334
        B"00001101" when B"0011100010",  -- INDEX 226
335
        B"11011100" when B"0011100011",  -- INDEX 227
336
        B"10110000" when B"0011100100",  -- INDEX 228
337
        B"10010000" when B"0011100101",  -- INDEX 229
338
        B"10000001" when B"0011100110",  -- INDEX 230
339
        B"10000101" when B"0011100111",  -- INDEX 231
340
        B"10011011" when B"0011101000",  -- INDEX 232
341
        B"11000000" when B"0011101001",  -- INDEX 233
342
        B"11101111" when B"0011101010",  -- INDEX 234
343
        B"00100000" when B"0011101011",  -- INDEX 235
344
        B"01001101" when B"0011101100",  -- INDEX 236
345
        B"01101110" when B"0011101101",  -- INDEX 237
346
        B"01111111" when B"0011101110",  -- INDEX 238
347
        B"01111100" when B"0011101111",  -- INDEX 239
348
        B"01101000" when B"0011110000",  -- INDEX 240
349
        B"01000011" when B"0011110001",  -- INDEX 241
350
        B"00010101" when B"0011110010",  -- INDEX 242
351
        B"11100100" when B"0011110011",  -- INDEX 243
352
        B"10110110" when B"0011110100",  -- INDEX 244
353
        B"10010100" when B"0011110101",  -- INDEX 245
354
        B"10000010" when B"0011110110",  -- INDEX 246
355
        B"10000011" when B"0011110111",  -- INDEX 247
356
        B"10010110" when B"0011111000",  -- INDEX 248
357
        B"10111001" when B"0011111001",  -- INDEX 249
358
        B"11100111" when B"0011111010",  -- INDEX 250
359
        B"00011000" when B"0011111011",  -- INDEX 251
360
        B"01000110" when B"0011111100",  -- INDEX 252
361
        B"01101010" when B"0011111101",  -- INDEX 253
362
        B"01111101" when B"0011111110",  -- INDEX 254
363
        B"01111110" when B"0011111111",  -- INDEX 255
364
        B"01101100" when B"0100000000",  -- INDEX 256
365
        B"01001010" when B"0100000001",  -- INDEX 257
366
        B"00011101" when B"0100000010",  -- INDEX 258
367
        B"11101011" when B"0100000011",  -- INDEX 259
368
        B"10111101" when B"0100000100",  -- INDEX 260
369
        B"10011001" when B"0100000101",  -- INDEX 261
370
        B"10000100" when B"0100000110",  -- INDEX 262
371
        B"10000001" when B"0100000111",  -- INDEX 263
372
        B"10010010" when B"0100001000",  -- INDEX 264
373
        B"10110011" when B"0100001001",  -- INDEX 265
374
        B"11011111" when B"0100001010",  -- INDEX 266
375
        B"00010001" when B"0100001011",  -- INDEX 267
376
        B"00111111" when B"0100001100",  -- INDEX 268
377
        B"01100101" when B"0100001101",  -- INDEX 269
378
        B"01111011" when B"0100001110",  -- INDEX 270
379
        B"01111111" when B"0100001111",  -- INDEX 271
380
        B"01110000" when B"0100010000",  -- INDEX 272
381
        B"01010000" when B"0100010001",  -- INDEX 273
382
        B"00100101" when B"0100010010",  -- INDEX 274
383
        B"11110011" when B"0100010011",  -- INDEX 275
384
        B"11000100" when B"0100010100",  -- INDEX 276
385
        B"10011110" when B"0100010101",  -- INDEX 277
386
        B"10000110" when B"0100010110",  -- INDEX 278
387
        B"10000000" when B"0100010111",  -- INDEX 279
388
        B"10001110" when B"0100011000",  -- INDEX 280
389
        B"10101100" when B"0100011001",  -- INDEX 281
390
        B"11010111" when B"0100011010",  -- INDEX 282
391
        B"00001001" when B"0100011011",  -- INDEX 283
392
        B"00111000" when B"0100011100",  -- INDEX 284
393
        B"01100000" when B"0100011101",  -- INDEX 285
394
        B"01111001" when B"0100011110",  -- INDEX 286
395
        B"01111111" when B"0100011111",  -- INDEX 287
396
        B"01110100" when B"0100100000",  -- INDEX 288
397
        B"01010111" when B"0100100001",  -- INDEX 289
398
        B"00101100" when B"0100100010",  -- INDEX 290
399
        B"11111011" when B"0100100011",  -- INDEX 291
400
        B"11001011" when B"0100100100",  -- INDEX 292
401
        B"10100011" when B"0100100101",  -- INDEX 293
402
        B"10001001" when B"0100100110",  -- INDEX 294
403
        B"10000000" when B"0100100111",  -- INDEX 295
404
        B"10001011" when B"0100101000",  -- INDEX 296
405
        B"10100111" when B"0100101001",  -- INDEX 297
406
        B"11010000" when B"0100101010",  -- INDEX 298
407
        B"00000001" when B"0100101011",  -- INDEX 299
408
        B"00110001" when B"0100101100",  -- INDEX 300
409
        B"01011010" when B"0100101101",  -- INDEX 301
410
        B"01110110" when B"0100101110",  -- INDEX 302
411
        B"01111111" when B"0100101111",  -- INDEX 303
412
        B"01110111" when B"0100110000",  -- INDEX 304
413
        B"01011100" when B"0100110001",  -- INDEX 305
414
        B"00110100" when B"0100110010",  -- INDEX 306
415
        B"00000100" when B"0100110011",  -- INDEX 307
416
        B"11010011" when B"0100110100",  -- INDEX 308
417
        B"10101001" when B"0100110101",  -- INDEX 309
418
        B"10001100" when B"0100110110",  -- INDEX 310
419
        B"10000000" when B"0100110111",  -- INDEX 311
420
        B"10001000" when B"0100111000",  -- INDEX 312
421
        B"10100001" when B"0100111001",  -- INDEX 313
422
        B"11001001" when B"0100111010",  -- INDEX 314
423
        B"11111000" when B"0100111011",  -- INDEX 315
424
        B"00101001" when B"0100111100",  -- INDEX 316
425
        B"01010100" when B"0100111101",  -- INDEX 317
426
        B"01110011" when B"0100111110",  -- INDEX 318
427
        B"01111111" when B"0100111111",  -- INDEX 319
428
        B"01111010" when B"0101000000",  -- INDEX 320
429
        B"01100010" when B"0101000001",  -- INDEX 321
430
        B"00111011" when B"0101000010",  -- INDEX 322
431
        B"00001100" when B"0101000011",  -- INDEX 323
432
        B"11011010" when B"0101000100",  -- INDEX 324
433
        B"10101111" when B"0101000101",  -- INDEX 325
434
        B"10001111" when B"0101000110",  -- INDEX 326
435
        B"10000001" when B"0101000111",  -- INDEX 327
436
        B"10000101" when B"0101001000",  -- INDEX 328
437
        B"10011100" when B"0101001001",  -- INDEX 329
438
        B"11000001" when B"0101001010",  -- INDEX 330
439
        B"11110000" when B"0101001011",  -- INDEX 331
440
        B"00100010" when B"0101001100",  -- INDEX 332
441
        B"01001110" when B"0101001101",  -- INDEX 333
442
        B"01101111" when B"0101001110",  -- INDEX 334
443
        B"01111111" when B"0101001111",  -- INDEX 335
444
        B"01111100" when B"0101010000",  -- INDEX 336
445
        B"01100111" when B"0101010001",  -- INDEX 337
446
        B"01000010" when B"0101010010",  -- INDEX 338
447
        B"00010100" when B"0101010011",  -- INDEX 339
448
        B"11100010" when B"0101010100",  -- INDEX 340
449
        B"10110101" when B"0101010101",  -- INDEX 341
450
        B"10010011" when B"0101010110",  -- INDEX 342
451
        B"10000010" when B"0101010111",  -- INDEX 343
452
        B"10000011" when B"0101011000",  -- INDEX 344
453
        B"10010111" when B"0101011001",  -- INDEX 345
454
        B"10111011" when B"0101011010",  -- INDEX 346
455
        B"11101001" when B"0101011011",  -- INDEX 347
456
        B"00011010" when B"0101011100",  -- INDEX 348
457
        B"01001000" when B"0101011101",  -- INDEX 349
458
        B"01101010" when B"0101011110",  -- INDEX 350
459
        B"01111101" when B"0101011111",  -- INDEX 351
460
        B"01111110" when B"0101100000",  -- INDEX 352
461
        B"01101011" when B"0101100001",  -- INDEX 353
462
        B"01001001" when B"0101100010",  -- INDEX 354
463
        B"00011011" when B"0101100011",  -- INDEX 355
464
        B"11101010" when B"0101100100",  -- INDEX 356
465
        B"10111100" when B"0101100101",  -- INDEX 357
466
        B"10011000" when B"0101100110",  -- INDEX 358
467
        B"10000011" when B"0101100111",  -- INDEX 359
468
        B"10000010" when B"0101101000",  -- INDEX 360
469
        B"10010011" when B"0101101001",  -- INDEX 361
470
        B"10110100" when B"0101101010",  -- INDEX 362
471
        B"11100001" when B"0101101011",  -- INDEX 363
472
        B"00010010" when B"0101101100",  -- INDEX 364
473
        B"01000001" when B"0101101101",  -- INDEX 365
474
        B"01100110" when B"0101101110",  -- INDEX 366
475
        B"01111100" when B"0101101111",  -- INDEX 367
476
        B"01111111" when B"0101110000",  -- INDEX 368
477
        B"01101111" when B"0101110001",  -- INDEX 369
478
        B"01001111" when B"0101110010",  -- INDEX 370
479
        B"00100011" when B"0101110011",  -- INDEX 371
480
        B"11110010" when B"0101110100",  -- INDEX 372
481
        B"11000011" when B"0101110101",  -- INDEX 373
482
        B"10011101" when B"0101110110",  -- INDEX 374
483
        B"10000110" when B"0101110111",  -- INDEX 375
484
        B"10000001" when B"0101111000",  -- INDEX 376
485
        B"10001111" when B"0101111001",  -- INDEX 377
486
        B"10101110" when B"0101111010",  -- INDEX 378
487
        B"11011001" when B"0101111011",  -- INDEX 379
488
        B"00001010" when B"0101111100",  -- INDEX 380
489
        B"00111010" when B"0101111101",  -- INDEX 381
490
        B"01100001" when B"0101111110",  -- INDEX 382
491
        B"01111001" when B"0101111111",  -- INDEX 383
492
        B"01111111" when B"0110000000",  -- INDEX 384
493
        B"01110011" when B"0110000001",  -- INDEX 385
494
        B"01010101" when B"0110000010",  -- INDEX 386
495
        B"00101011" when B"0110000011",  -- INDEX 387
496
        B"11111010" when B"0110000100",  -- INDEX 388
497
        B"11001010" when B"0110000101",  -- INDEX 389
498
        B"10100010" when B"0110000110",  -- INDEX 390
499
        B"10001000" when B"0110000111",  -- INDEX 391
500
        B"10000000" when B"0110001000",  -- INDEX 392
501
        B"10001011" when B"0110001001",  -- INDEX 393
502
        B"10101000" when B"0110001010",  -- INDEX 394
503
        B"11010001" when B"0110001011",  -- INDEX 395
504
        B"00000010" when B"0110001100",  -- INDEX 396
505
        B"00110010" when B"0110001101",  -- INDEX 397
506
        B"01011011" when B"0110001110",  -- INDEX 398
507
        B"01110110" when B"0110001111",  -- INDEX 399
508
        B"01111111" when B"0110010000",  -- INDEX 400
509
        B"01110110" when B"0110010001",  -- INDEX 401
510
        B"01011010" when B"0110010010",  -- INDEX 402
511
        B"00110000" when B"0110010011",  -- INDEX 403
512
        B"11111110" when B"0110010100",  -- INDEX 404
513
        B"11001101" when B"0110010101",  -- INDEX 405
514
        B"10100011" when B"0110010110",  -- INDEX 406
515
        B"10001000" when B"0110010111",  -- INDEX 407
516
        B"10000000" when B"0110011000",  -- INDEX 408
517
        B"10001100" when B"0110011001",  -- INDEX 409
518
        B"10101001" when B"0110011010",  -- INDEX 410
519
        B"11010100" when B"0110011011",  -- INDEX 411
520
        B"00000110" when B"0110011100",  -- INDEX 412
521
        B"00110111" when B"0110011101",  -- INDEX 413
522
        B"01011111" when B"0110011110",  -- INDEX 414
523
        B"01111001" when B"0110011111",  -- INDEX 415
524
        B"01111111" when B"0110100000",  -- INDEX 416
525
        B"01110011" when B"0110100001",  -- INDEX 417
526
        B"01010100" when B"0110100010",  -- INDEX 418
527
        B"00101000" when B"0110100011",  -- INDEX 419
528
        B"11110110" when B"0110100100",  -- INDEX 420
529
        B"11000101" when B"0110100101",  -- INDEX 421
530
        B"10011110" when B"0110100110",  -- INDEX 422
531
        B"10000110" when B"0110100111",  -- INDEX 423
532
        B"10000001" when B"0110101000",  -- INDEX 424
533
        B"10001111" when B"0110101001",  -- INDEX 425
534
        B"10101111" when B"0110101010",  -- INDEX 426
535
        B"11011100" when B"0110101011",  -- INDEX 427
536
        B"00001110" when B"0110101100",  -- INDEX 428
537
        B"00111110" when B"0110101101",  -- INDEX 429
538
        B"01100101" when B"0110101110",  -- INDEX 430
539
        B"01111011" when B"0110101111",  -- INDEX 431
540
        B"01111111" when B"0110110000",  -- INDEX 432
541
        B"01101111" when B"0110110001",  -- INDEX 433
542
        B"01001110" when B"0110110010",  -- INDEX 434
543
        B"00100000" when B"0110110011",  -- INDEX 435
544
        B"11101110" when B"0110110100",  -- INDEX 436
545
        B"10111110" when B"0110110101",  -- INDEX 437
546
        B"10011001" when B"0110110110",  -- INDEX 438
547
        B"10000100" when B"0110110111",  -- INDEX 439
548
        B"10000010" when B"0110111000",  -- INDEX 440
549
        B"10010011" when B"0110111001",  -- INDEX 441
550
        B"10110110" when B"0110111010",  -- INDEX 442
551
        B"11100100" when B"0110111011",  -- INDEX 443
552
        B"00010110" when B"0110111100",  -- INDEX 444
553
        B"01000101" when B"0110111101",  -- INDEX 445
554
        B"01101001" when B"0110111110",  -- INDEX 446
555
        B"01111101" when B"0110111111",  -- INDEX 447
556
        B"01111110" when B"0111000000",  -- INDEX 448
557
        B"01101011" when B"0111000001",  -- INDEX 449
558
        B"01000111" when B"0111000010",  -- INDEX 450
559
        B"00011000" when B"0111000011",  -- INDEX 451
560
        B"11100110" when B"0111000100",  -- INDEX 452
561
        B"10111000" when B"0111000101",  -- INDEX 453
562
        B"10010100" when B"0111000110",  -- INDEX 454
563
        B"10000010" when B"0111000111",  -- INDEX 455
564
        B"10000011" when B"0111001000",  -- INDEX 456
565
        B"10011000" when B"0111001001",  -- INDEX 457
566
        B"10111100" when B"0111001010",  -- INDEX 458
567
        B"11101011" when B"0111001011",  -- INDEX 459
568
        B"00011110" when B"0111001100",  -- INDEX 460
569
        B"01001100" when B"0111001101",  -- INDEX 461
570
        B"01101110" when B"0111001110",  -- INDEX 462
571
        B"01111111" when B"0111001111",  -- INDEX 463
572
        B"01111100" when B"0111010000",  -- INDEX 464
573
        B"01100110" when B"0111010001",  -- INDEX 465
574
        B"01000000" when B"0111010010",  -- INDEX 466
575
        B"00010001" when B"0111010011",  -- INDEX 467
576
        B"11011110" when B"0111010100",  -- INDEX 468
577
        B"10110001" when B"0111010101",  -- INDEX 469
578
        B"10010000" when B"0111010110",  -- INDEX 470
579
        B"10000001" when B"0111010111",  -- INDEX 471
580
        B"10000101" when B"0111011000",  -- INDEX 472
581
        B"10011100" when B"0111011001",  -- INDEX 473
582
        B"11000011" when B"0111011010",  -- INDEX 474
583
        B"11110011" when B"0111011011",  -- INDEX 475
584
        B"00100110" when B"0111011100",  -- INDEX 476
585
        B"01010010" when B"0111011101",  -- INDEX 477
586
        B"01110010" when B"0111011110",  -- INDEX 478
587
        B"01111111" when B"0111011111",  -- INDEX 479
588
        B"01111010" when B"0111100000",  -- INDEX 480
589
        B"01100001" when B"0111100001",  -- INDEX 481
590
        B"00111001" when B"0111100010",  -- INDEX 482
591
        B"00001001" when B"0111100011",  -- INDEX 483
592
        B"11010111" when B"0111100100",  -- INDEX 484
593
        B"10101011" when B"0111100101",  -- INDEX 485
594
        B"10001101" when B"0111100110",  -- INDEX 486
595
        B"10000000" when B"0111100111",  -- INDEX 487
596
        B"10001000" when B"0111101000",  -- INDEX 488
597
        B"10100010" when B"0111101001",  -- INDEX 489
598
        B"11001010" when B"0111101010",  -- INDEX 490
599
        B"11111011" when B"0111101011",  -- INDEX 491
600
        B"00101101" when B"0111101100",  -- INDEX 492
601
        B"01011000" when B"0111101101",  -- INDEX 493
602
        B"01110101" when B"0111101110",  -- INDEX 494
603
        B"01111111" when B"0111101111",  -- INDEX 495
604
        B"01110111" when B"0111110000",  -- INDEX 496
605
        B"01011100" when B"0111110001",  -- INDEX 497
606
        B"00110010" when B"0111110010",  -- INDEX 498
607
        B"00000001" when B"0111110011",  -- INDEX 499
608
        B"11001111" when B"0111110100",  -- INDEX 500
609
        B"10100101" when B"0111110101",  -- INDEX 501
610
        B"10001001" when B"0111110110",  -- INDEX 502
611
        B"10000000" when B"0111110111",  -- INDEX 503
612
        B"10001011" when B"0111111000",  -- INDEX 504
613
        B"10100111" when B"0111111001",  -- INDEX 505
614
        B"11010010" when B"0111111010",  -- INDEX 506
615
        B"00000100" when B"0111111011",  -- INDEX 507
616
        B"00110101" when B"0111111100",  -- INDEX 508
617
        B"01011110" when B"0111111101",  -- INDEX 509
618
        B"01111000" when B"0111111110",  -- INDEX 510
619
        B"01111111" when B"0111111111",  -- INDEX 511
620
        B"01110100" when B"1000000000",  -- INDEX 512
621
        B"01010110" when B"1000000001",  -- INDEX 513
622
        B"00101010" when B"1000000010",  -- INDEX 514
623
        B"11111000" when B"1000000011",  -- INDEX 515
624
        B"11001000" when B"1000000100",  -- INDEX 516
625
        B"10100000" when B"1000000101",  -- INDEX 517
626
        B"10000111" when B"1000000110",  -- INDEX 518
627
        B"10000000" when B"1000000111",  -- INDEX 519
628
        B"10001110" when B"1000001000",  -- INDEX 520
629
        B"10101101" when B"1000001001",  -- INDEX 521
630
        B"11011001" when B"1000001010",  -- INDEX 522
631
        B"00001100" when B"1000001011",  -- INDEX 523
632
        B"00111100" when B"1000001100",  -- INDEX 524
633
        B"01100011" when B"1000001101",  -- INDEX 525
634
        B"01111011" when B"1000001110",  -- INDEX 526
635
        B"01111111" when B"1000001111",  -- INDEX 527
636
        B"01110000" when B"1000010000",  -- INDEX 528
637
        B"01010000" when B"1000010001",  -- INDEX 529
638
        B"00100011" when B"1000010010",  -- INDEX 530
639
        B"11110000" when B"1000010011",  -- INDEX 531
640
        B"11000001" when B"1000010100",  -- INDEX 532
641
        B"10011011" when B"1000010101",  -- INDEX 533
642
        B"10000100" when B"1000010110",  -- INDEX 534
643
        B"10000001" when B"1000010111",  -- INDEX 535
644
        B"10010010" when B"1000011000",  -- INDEX 536
645
        B"10110100" when B"1000011001",  -- INDEX 537
646
        B"11100001" when B"1000011010",  -- INDEX 538
647
        B"00010100" when B"1000011011",  -- INDEX 539
648
        B"01000011" when B"1000011100",  -- INDEX 540
649
        B"01101000" when B"1000011101",  -- INDEX 541
650
        B"01111101" when B"1000011110",  -- INDEX 542
651
        B"01111110" when B"1000011111",  -- INDEX 543
652
        B"01101100" when B"1000100000",  -- INDEX 544
653
        B"01001001" when B"1000100001",  -- INDEX 545
654
        B"00011011" when B"1000100010",  -- INDEX 546
655
        B"11101001" when B"1000100011",  -- INDEX 547
656
        B"10111010" when B"1000100100",  -- INDEX 548
657
        B"10010110" when B"1000100101",  -- INDEX 549
658
        B"10000010" when B"1000100110",  -- INDEX 550
659
        B"10000011" when B"1000100111",  -- INDEX 551
660
        B"10010110" when B"1000101000",  -- INDEX 552
661
        B"10111010" when B"1000101001",  -- INDEX 553
662
        B"11101001" when B"1000101010",  -- INDEX 554
663
        B"00011011" when B"1000101011",  -- INDEX 555
664
        B"01001010" when B"1000101100",  -- INDEX 556
665
        B"01101100" when B"1000101101",  -- INDEX 557
666
        B"01111110" when B"1000101110",  -- INDEX 558
667
        B"01111101" when B"1000101111",  -- INDEX 559
668
        B"01101000" when B"1000110000",  -- INDEX 560
669
        B"01000010" when B"1000110001",  -- INDEX 561
670
        B"00010011" when B"1000110010",  -- INDEX 562
671
        B"11100001" when B"1000110011",  -- INDEX 563
672
        B"10110011" when B"1000110100",  -- INDEX 564
673
        B"10010010" when B"1000110101",  -- INDEX 565
674
        B"10000001" when B"1000110110",  -- INDEX 566
675
        B"10000100" when B"1000110111",  -- INDEX 567
676
        B"10011011" when B"1000111000",  -- INDEX 568
677
        B"11000001" when B"1000111001",  -- INDEX 569
678
        B"11110001" when B"1000111010",  -- INDEX 570
679
        B"00100011" when B"1000111011",  -- INDEX 571
680
        B"01010000" when B"1000111100",  -- INDEX 572
681
        B"01110000" when B"1000111101",  -- INDEX 573
682
        B"01111111" when B"1000111110",  -- INDEX 574
683
        B"01111010" when B"1000111111",  -- INDEX 575
684
        B"01100011" when B"1001000000",  -- INDEX 576
685
        B"00111011" when B"1001000001",  -- INDEX 577
686
        B"00001011" when B"1001000010",  -- INDEX 578
687
        B"11011001" when B"1001000011",  -- INDEX 579
688
        B"10101101" when B"1001000100",  -- INDEX 580
689
        B"10001110" when B"1001000101",  -- INDEX 581
690
        B"10000000" when B"1001000110",  -- INDEX 582
691
        B"10000111" when B"1001000111",  -- INDEX 583
692
        B"10100000" when B"1001001000",  -- INDEX 584
693
        B"11001000" when B"1001001001",  -- INDEX 585
694
        B"11111001" when B"1001001010",  -- INDEX 586
695
        B"00101011" when B"1001001011",  -- INDEX 587
696
        B"01010110" when B"1001001100",  -- INDEX 588
697
        B"01110100" when B"1001001101",  -- INDEX 589
698
        B"01111111" when B"1001001110",  -- INDEX 590
699
        B"01111000" when B"1001001111",  -- INDEX 591
700
        B"01011101" when B"1001010000",  -- INDEX 592
701
        B"00110100" when B"1001010001",  -- INDEX 593
702
        B"00000011" when B"1001010010",  -- INDEX 594
703
        B"11010001" when B"1001010011",  -- INDEX 595
704
        B"10100111" when B"1001010100",  -- INDEX 596
705
        B"10001010" when B"1001010101",  -- INDEX 597
706
        B"10000000" when B"1001010110",  -- INDEX 598
707
        B"10001010" when B"1001010111",  -- INDEX 599
708
        B"10100101" when B"1001011000",  -- INDEX 600
709
        B"11001111" when B"1001011001",  -- INDEX 601
710
        B"11111111" when B"1001011010",  -- INDEX 602
711
        B"00110000" when B"1001011011",  -- INDEX 603
712
        B"01011001" when B"1001011100",  -- INDEX 604
713
        B"01110101" when B"1001011101",  -- INDEX 605
714
        B"01111111" when B"1001011110",  -- INDEX 606
715
        B"01111000" when B"1001011111",  -- INDEX 607
716
        B"01011101" when B"1001100000",  -- INDEX 608
717
        B"00110101" when B"1001100001",  -- INDEX 609
718
        B"00000101" when B"1001100010",  -- INDEX 610
719
        B"11010100" when B"1001100011",  -- INDEX 611
720
        B"10101010" when B"1001100100",  -- INDEX 612
721
        B"10001100" when B"1001100101",  -- INDEX 613
722
        B"10000000" when B"1001100110",  -- INDEX 614
723
        B"10000111" when B"1001100111",  -- INDEX 615
724
        B"10100000" when B"1001101000",  -- INDEX 616
725
        B"11000111" when B"1001101001",  -- INDEX 617
726
        B"11110111" when B"1001101010",  -- INDEX 618
727
        B"00101000" when B"1001101011",  -- INDEX 619
728
        B"01010011" when B"1001101100",  -- INDEX 620
729
        B"01110010" when B"1001101101",  -- INDEX 621
730
        B"01111111" when B"1001101110",  -- INDEX 622
731
        B"01111010" when B"1001101111",  -- INDEX 623
732
        B"01100011" when B"1001110000",  -- INDEX 624
733
        B"00111100" when B"1001110001",  -- INDEX 625
734
        B"00001101" when B"1001110010",  -- INDEX 626
735
        B"11011100" when B"1001110011",  -- INDEX 627
736
        B"10110000" when B"1001110100",  -- INDEX 628
737
        B"10010000" when B"1001110101",  -- INDEX 629
738
        B"10000001" when B"1001110110",  -- INDEX 630
739
        B"10000101" when B"1001110111",  -- INDEX 631
740
        B"10011011" when B"1001111000",  -- INDEX 632
741
        B"11000000" when B"1001111001",  -- INDEX 633
742
        B"11101111" when B"1001111010",  -- INDEX 634
743
        B"00100000" when B"1001111011",  -- INDEX 635
744
        B"01001101" when B"1001111100",  -- INDEX 636
745
        B"01101110" when B"1001111101",  -- INDEX 637
746
        B"01111111" when B"1001111110",  -- INDEX 638
747
        B"01111100" when B"1001111111",  -- INDEX 639
748
        B"01101000" when B"1010000000",  -- INDEX 640
749
        B"01000011" when B"1010000001",  -- INDEX 641
750
        B"00010101" when B"1010000010",  -- INDEX 642
751
        B"11100100" when B"1010000011",  -- INDEX 643
752
        B"10110110" when B"1010000100",  -- INDEX 644
753
        B"10010100" when B"1010000101",  -- INDEX 645
754
        B"10000010" when B"1010000110",  -- INDEX 646
755
        B"10000011" when B"1010000111",  -- INDEX 647
756
        B"10010110" when B"1010001000",  -- INDEX 648
757
        B"10111001" when B"1010001001",  -- INDEX 649
758
        B"11100111" when B"1010001010",  -- INDEX 650
759
        B"00011000" when B"1010001011",  -- INDEX 651
760
        B"01000110" when B"1010001100",  -- INDEX 652
761
        B"01101010" when B"1010001101",  -- INDEX 653
762
        B"01111101" when B"1010001110",  -- INDEX 654
763
        B"01111110" when B"1010001111",  -- INDEX 655
764
        B"01101100" when B"1010010000",  -- INDEX 656
765
        B"01001010" when B"1010010001",  -- INDEX 657
766
        B"00011101" when B"1010010010",  -- INDEX 658
767
        B"11101011" when B"1010010011",  -- INDEX 659
768
        B"10111101" when B"1010010100",  -- INDEX 660
769
        B"10011001" when B"1010010101",  -- INDEX 661
770
        B"10000100" when B"1010010110",  -- INDEX 662
771
        B"10000001" when B"1010010111",  -- INDEX 663
772
        B"10010010" when B"1010011000",  -- INDEX 664
773
        B"10110011" when B"1010011001",  -- INDEX 665
774
        B"11011111" when B"1010011010",  -- INDEX 666
775
        B"00010001" when B"1010011011",  -- INDEX 667
776
        B"00111111" when B"1010011100",  -- INDEX 668
777
        B"01100101" when B"1010011101",  -- INDEX 669
778
        B"01111011" when B"1010011110",  -- INDEX 670
779
        B"01111111" when B"1010011111",  -- INDEX 671
780
        B"01110000" when B"1010100000",  -- INDEX 672
781
        B"01010000" when B"1010100001",  -- INDEX 673
782
        B"00100101" when B"1010100010",  -- INDEX 674
783
        B"11110011" when B"1010100011",  -- INDEX 675
784
        B"11000100" when B"1010100100",  -- INDEX 676
785
        B"10011110" when B"1010100101",  -- INDEX 677
786
        B"10000110" when B"1010100110",  -- INDEX 678
787
        B"10000000" when B"1010100111",  -- INDEX 679
788
        B"10001110" when B"1010101000",  -- INDEX 680
789
        B"10101100" when B"1010101001",  -- INDEX 681
790
        B"11010111" when B"1010101010",  -- INDEX 682
791
        B"00001001" when B"1010101011",  -- INDEX 683
792
        B"00111000" when B"1010101100",  -- INDEX 684
793
        B"01100000" when B"1010101101",  -- INDEX 685
794
        B"01111001" when B"1010101110",  -- INDEX 686
795
        B"01111111" when B"1010101111",  -- INDEX 687
796
        B"01110100" when B"1010110000",  -- INDEX 688
797
        B"01010111" when B"1010110001",  -- INDEX 689
798
        B"00101100" when B"1010110010",  -- INDEX 690
799
        B"11111011" when B"1010110011",  -- INDEX 691
800
        B"11001011" when B"1010110100",  -- INDEX 692
801
        B"10100011" when B"1010110101",  -- INDEX 693
802
        B"10001001" when B"1010110110",  -- INDEX 694
803
        B"10000000" when B"1010110111",  -- INDEX 695
804
        B"10001011" when B"1010111000",  -- INDEX 696
805
        B"10100111" when B"1010111001",  -- INDEX 697
806
        B"11010000" when B"1010111010",  -- INDEX 698
807
        B"00000001" when B"1010111011",  -- INDEX 699
808
        B"00110001" when B"1010111100",  -- INDEX 700
809
        B"01011010" when B"1010111101",  -- INDEX 701
810
        B"01110110" when B"1010111110",  -- INDEX 702
811
        B"01111111" when B"1010111111",  -- INDEX 703
812
        B"01110111" when B"1011000000",  -- INDEX 704
813
        B"01011100" when B"1011000001",  -- INDEX 705
814
        B"00110100" when B"1011000010",  -- INDEX 706
815
        B"00000100" when B"1011000011",  -- INDEX 707
816
        B"11010011" when B"1011000100",  -- INDEX 708
817
        B"10101001" when B"1011000101",  -- INDEX 709
818
        B"10001100" when B"1011000110",  -- INDEX 710
819
        B"10000000" when B"1011000111",  -- INDEX 711
820
        B"10001000" when B"1011001000",  -- INDEX 712
821
        B"10100001" when B"1011001001",  -- INDEX 713
822
        B"11001001" when B"1011001010",  -- INDEX 714
823
        B"11111000" when B"1011001011",  -- INDEX 715
824
        B"00101001" when B"1011001100",  -- INDEX 716
825
        B"01010100" when B"1011001101",  -- INDEX 717
826
        B"01110011" when B"1011001110",  -- INDEX 718
827
        B"01111111" when B"1011001111",  -- INDEX 719
828
        B"01111010" when B"1011010000",  -- INDEX 720
829
        B"01100010" when B"1011010001",  -- INDEX 721
830
        B"00111011" when B"1011010010",  -- INDEX 722
831
        B"00001100" when B"1011010011",  -- INDEX 723
832
        B"11011010" when B"1011010100",  -- INDEX 724
833
        B"10101111" when B"1011010101",  -- INDEX 725
834
        B"10001111" when B"1011010110",  -- INDEX 726
835
        B"10000001" when B"1011010111",  -- INDEX 727
836
        B"10000101" when B"1011011000",  -- INDEX 728
837
        B"10011100" when B"1011011001",  -- INDEX 729
838
        B"11000001" when B"1011011010",  -- INDEX 730
839
        B"11110000" when B"1011011011",  -- INDEX 731
840
        B"00100010" when B"1011011100",  -- INDEX 732
841
        B"01001110" when B"1011011101",  -- INDEX 733
842
        B"01101111" when B"1011011110",  -- INDEX 734
843
        B"01111111" when B"1011011111",  -- INDEX 735
844
        B"01111100" when B"1011100000",  -- INDEX 736
845
        B"01100111" when B"1011100001",  -- INDEX 737
846
        B"01000010" when B"1011100010",  -- INDEX 738
847
        B"00010100" when B"1011100011",  -- INDEX 739
848
        B"11100010" when B"1011100100",  -- INDEX 740
849
        B"10110101" when B"1011100101",  -- INDEX 741
850
        B"10010011" when B"1011100110",  -- INDEX 742
851
        B"10000010" when B"1011100111",  -- INDEX 743
852
        B"10000011" when B"1011101000",  -- INDEX 744
853
        B"10010111" when B"1011101001",  -- INDEX 745
854
        B"10111011" when B"1011101010",  -- INDEX 746
855
        B"11101001" when B"1011101011",  -- INDEX 747
856
        B"00011010" when B"1011101100",  -- INDEX 748
857
        B"01001000" when B"1011101101",  -- INDEX 749
858
        B"01101010" when B"1011101110",  -- INDEX 750
859
        B"01111101" when B"1011101111",  -- INDEX 751
860
        B"01111110" when B"1011110000",  -- INDEX 752
861
        B"01101011" when B"1011110001",  -- INDEX 753
862
        B"01001001" when B"1011110010",  -- INDEX 754
863
        B"00011011" when B"1011110011",  -- INDEX 755
864
        B"11101010" when B"1011110100",  -- INDEX 756
865
        B"10111100" when B"1011110101",  -- INDEX 757
866
        B"10011000" when B"1011110110",  -- INDEX 758
867
        B"10000011" when B"1011110111",  -- INDEX 759
868
        B"10000010" when B"1011111000",  -- INDEX 760
869
        B"10010011" when B"1011111001",  -- INDEX 761
870
        B"10110100" when B"1011111010",  -- INDEX 762
871
        B"11100001" when B"1011111011",  -- INDEX 763
872
        B"00010010" when B"1011111100",  -- INDEX 764
873
        B"01000001" when B"1011111101",  -- INDEX 765
874
        B"01100110" when B"1011111110",  -- INDEX 766
875
        B"01111100" when B"1011111111",  -- INDEX 767
876
        B"01111111" when B"1100000000",  -- INDEX 768
877
        B"01101111" when B"1100000001",  -- INDEX 769
878
        B"01001111" when B"1100000010",  -- INDEX 770
879
        B"00100011" when B"1100000011",  -- INDEX 771
880
        B"11110010" when B"1100000100",  -- INDEX 772
881
        B"11000011" when B"1100000101",  -- INDEX 773
882
        B"10011101" when B"1100000110",  -- INDEX 774
883
        B"10000110" when B"1100000111",  -- INDEX 775
884
        B"10000001" when B"1100001000",  -- INDEX 776
885
        B"10001111" when B"1100001001",  -- INDEX 777
886
        B"10101110" when B"1100001010",  -- INDEX 778
887
        B"11011001" when B"1100001011",  -- INDEX 779
888
        B"00001010" when B"1100001100",  -- INDEX 780
889
        B"00111010" when B"1100001101",  -- INDEX 781
890
        B"01100001" when B"1100001110",  -- INDEX 782
891
        B"01111001" when B"1100001111",  -- INDEX 783
892
        B"01111111" when B"1100010000",  -- INDEX 784
893
        B"01110011" when B"1100010001",  -- INDEX 785
894
        B"01010101" when B"1100010010",  -- INDEX 786
895
        B"00101011" when B"1100010011",  -- INDEX 787
896
        B"11111010" when B"1100010100",  -- INDEX 788
897
        B"11001010" when B"1100010101",  -- INDEX 789
898
        B"10100010" when B"1100010110",  -- INDEX 790
899
        B"10001000" when B"1100010111",  -- INDEX 791
900
        B"10000000" when B"1100011000",  -- INDEX 792
901
        B"10001011" when B"1100011001",  -- INDEX 793
902
        B"10101000" when B"1100011010",  -- INDEX 794
903
        B"11010001" when B"1100011011",  -- INDEX 795
904
        B"00000010" when B"1100011100",  -- INDEX 796
905
        B"00110010" when B"1100011101",  -- INDEX 797
906
        B"01011011" when B"1100011110",  -- INDEX 798
907
        B"01110110" when B"1100011111",  -- INDEX 799
908
        B"01111111" when B"1100100000",  -- INDEX 800
909
        B"01110110" when B"1100100001",  -- INDEX 801
910
        B"01011010" when B"1100100010",  -- INDEX 802
911
        B"00110000" when B"1100100011",  -- INDEX 803
912
        B"11111110" when B"1100100100",  -- INDEX 804
913
        B"11001101" when B"1100100101",  -- INDEX 805
914
        B"10100011" when B"1100100110",  -- INDEX 806
915
        B"10001000" when B"1100100111",  -- INDEX 807
916
        B"10000000" when B"1100101000",  -- INDEX 808
917
        B"10001100" when B"1100101001",  -- INDEX 809
918
        B"10101001" when B"1100101010",  -- INDEX 810
919
        B"11010100" when B"1100101011",  -- INDEX 811
920
        B"00000110" when B"1100101100",  -- INDEX 812
921
        B"00110111" when B"1100101101",  -- INDEX 813
922
        B"01011111" when B"1100101110",  -- INDEX 814
923
        B"01111001" when B"1100101111",  -- INDEX 815
924
        B"01111111" when B"1100110000",  -- INDEX 816
925
        B"01110011" when B"1100110001",  -- INDEX 817
926
        B"01010100" when B"1100110010",  -- INDEX 818
927
        B"00101000" when B"1100110011",  -- INDEX 819
928
        B"11110110" when B"1100110100",  -- INDEX 820
929
        B"11000101" when B"1100110101",  -- INDEX 821
930
        B"10011110" when B"1100110110",  -- INDEX 822
931
        B"10000110" when B"1100110111",  -- INDEX 823
932
        B"10000001" when B"1100111000",  -- INDEX 824
933
        B"10001111" when B"1100111001",  -- INDEX 825
934
        B"10101111" when B"1100111010",  -- INDEX 826
935
        B"11011100" when B"1100111011",  -- INDEX 827
936
        B"00001110" when B"1100111100",  -- INDEX 828
937
        B"00111110" when B"1100111101",  -- INDEX 829
938
        B"01100101" when B"1100111110",  -- INDEX 830
939
        B"01111011" when B"1100111111",  -- INDEX 831
940
        B"01111111" when B"1101000000",  -- INDEX 832
941
        B"01101111" when B"1101000001",  -- INDEX 833
942
        B"01001110" when B"1101000010",  -- INDEX 834
943
        B"00100000" when B"1101000011",  -- INDEX 835
944
        B"11101110" when B"1101000100",  -- INDEX 836
945
        B"10111110" when B"1101000101",  -- INDEX 837
946
        B"10011001" when B"1101000110",  -- INDEX 838
947
        B"10000100" when B"1101000111",  -- INDEX 839
948
        B"10000010" when B"1101001000",  -- INDEX 840
949
        B"10010011" when B"1101001001",  -- INDEX 841
950
        B"10110110" when B"1101001010",  -- INDEX 842
951
        B"11100100" when B"1101001011",  -- INDEX 843
952
        B"00010110" when B"1101001100",  -- INDEX 844
953
        B"01000101" when B"1101001101",  -- INDEX 845
954
        B"01101001" when B"1101001110",  -- INDEX 846
955
        B"01111101" when B"1101001111",  -- INDEX 847
956
        B"01111110" when B"1101010000",  -- INDEX 848
957
        B"01101011" when B"1101010001",  -- INDEX 849
958
        B"01000111" when B"1101010010",  -- INDEX 850
959
        B"00011000" when B"1101010011",  -- INDEX 851
960
        B"11100110" when B"1101010100",  -- INDEX 852
961
        B"10111000" when B"1101010101",  -- INDEX 853
962
        B"10010100" when B"1101010110",  -- INDEX 854
963
        B"10000010" when B"1101010111",  -- INDEX 855
964
        B"10000011" when B"1101011000",  -- INDEX 856
965
        B"10011000" when B"1101011001",  -- INDEX 857
966
        B"10111100" when B"1101011010",  -- INDEX 858
967
        B"11101011" when B"1101011011",  -- INDEX 859
968
        B"00011110" when B"1101011100",  -- INDEX 860
969
        B"01001100" when B"1101011101",  -- INDEX 861
970
        B"01101110" when B"1101011110",  -- INDEX 862
971
        B"01111111" when B"1101011111",  -- INDEX 863
972
        B"01111100" when B"1101100000",  -- INDEX 864
973
        B"01100110" when B"1101100001",  -- INDEX 865
974
        B"01000000" when B"1101100010",  -- INDEX 866
975
        B"00010001" when B"1101100011",  -- INDEX 867
976
        B"11011110" when B"1101100100",  -- INDEX 868
977
        B"10110001" when B"1101100101",  -- INDEX 869
978
        B"10010000" when B"1101100110",  -- INDEX 870
979
        B"10000001" when B"1101100111",  -- INDEX 871
980
        B"10000101" when B"1101101000",  -- INDEX 872
981
        B"10011100" when B"1101101001",  -- INDEX 873
982
        B"11000011" when B"1101101010",  -- INDEX 874
983
        B"11110011" when B"1101101011",  -- INDEX 875
984
        B"00100110" when B"1101101100",  -- INDEX 876
985
        B"01010010" when B"1101101101",  -- INDEX 877
986
        B"01110010" when B"1101101110",  -- INDEX 878
987
        B"01111111" when B"1101101111",  -- INDEX 879
988
        B"01111010" when B"1101110000",  -- INDEX 880
989
        B"01100001" when B"1101110001",  -- INDEX 881
990
        B"00111001" when B"1101110010",  -- INDEX 882
991
        B"00001001" when B"1101110011",  -- INDEX 883
992
        B"11010111" when B"1101110100",  -- INDEX 884
993
        B"10101011" when B"1101110101",  -- INDEX 885
994
        B"10001101" when B"1101110110",  -- INDEX 886
995
        B"10000000" when B"1101110111",  -- INDEX 887
996
        B"10001000" when B"1101111000",  -- INDEX 888
997
        B"10100010" when B"1101111001",  -- INDEX 889
998
        B"11001010" when B"1101111010",  -- INDEX 890
999
        B"11111011" when B"1101111011",  -- INDEX 891
1000
        B"00101101" when B"1101111100",  -- INDEX 892
1001
        B"01011000" when B"1101111101",  -- INDEX 893
1002
        B"01110101" when B"1101111110",  -- INDEX 894
1003
        B"01111111" when B"1101111111",  -- INDEX 895
1004
        B"01110111" when B"1110000000",  -- INDEX 896
1005
        B"01011100" when B"1110000001",  -- INDEX 897
1006
        B"00110010" when B"1110000010",  -- INDEX 898
1007
        B"00000001" when B"1110000011",  -- INDEX 899
1008
        B"11001111" when B"1110000100",  -- INDEX 900
1009
        B"10100101" when B"1110000101",  -- INDEX 901
1010
        B"10001001" when B"1110000110",  -- INDEX 902
1011
        B"10000000" when B"1110000111",  -- INDEX 903
1012
        B"10001011" when B"1110001000",  -- INDEX 904
1013
        B"10100111" when B"1110001001",  -- INDEX 905
1014
        B"11010010" when B"1110001010",  -- INDEX 906
1015
        B"00000100" when B"1110001011",  -- INDEX 907
1016
        B"00110101" when B"1110001100",  -- INDEX 908
1017
        B"01011110" when B"1110001101",  -- INDEX 909
1018
        B"01111000" when B"1110001110",  -- INDEX 910
1019
        B"01111111" when B"1110001111",  -- INDEX 911
1020
        B"01110100" when B"1110010000",  -- INDEX 912
1021
        B"01010110" when B"1110010001",  -- INDEX 913
1022
        B"00101010" when B"1110010010",  -- INDEX 914
1023
        B"11111000" when B"1110010011",  -- INDEX 915
1024
        B"11001000" when B"1110010100",  -- INDEX 916
1025
        B"10100000" when B"1110010101",  -- INDEX 917
1026
        B"10000111" when B"1110010110",  -- INDEX 918
1027
        B"10000000" when B"1110010111",  -- INDEX 919
1028
        B"10001110" when B"1110011000",  -- INDEX 920
1029
        B"10101101" when B"1110011001",  -- INDEX 921
1030
        B"11011001" when B"1110011010",  -- INDEX 922
1031
        B"00001100" when B"1110011011",  -- INDEX 923
1032
        B"00111100" when B"1110011100",  -- INDEX 924
1033
        B"01100011" when B"1110011101",  -- INDEX 925
1034
        B"01111011" when B"1110011110",  -- INDEX 926
1035
        B"01111111" when B"1110011111",  -- INDEX 927
1036
        B"01110000" when B"1110100000",  -- INDEX 928
1037
        B"01010000" when B"1110100001",  -- INDEX 929
1038
        B"00100011" when B"1110100010",  -- INDEX 930
1039
        B"11110000" when B"1110100011",  -- INDEX 931
1040
        B"11000001" when B"1110100100",  -- INDEX 932
1041
        B"10011011" when B"1110100101",  -- INDEX 933
1042
        B"10000100" when B"1110100110",  -- INDEX 934
1043
        B"10000001" when B"1110100111",  -- INDEX 935
1044
        B"10010010" when B"1110101000",  -- INDEX 936
1045
        B"10110100" when B"1110101001",  -- INDEX 937
1046
        B"11100001" when B"1110101010",  -- INDEX 938
1047
        B"00010100" when B"1110101011",  -- INDEX 939
1048
        B"01000011" when B"1110101100",  -- INDEX 940
1049
        B"01101000" when B"1110101101",  -- INDEX 941
1050
        B"01111101" when B"1110101110",  -- INDEX 942
1051
        B"01111110" when B"1110101111",  -- INDEX 943
1052
        B"01101100" when B"1110110000",  -- INDEX 944
1053
        B"01001001" when B"1110110001",  -- INDEX 945
1054
        B"00011011" when B"1110110010",  -- INDEX 946
1055
        B"11101001" when B"1110110011",  -- INDEX 947
1056
        B"10111010" when B"1110110100",  -- INDEX 948
1057
        B"10010110" when B"1110110101",  -- INDEX 949
1058
        B"10000010" when B"1110110110",  -- INDEX 950
1059
        B"10000011" when B"1110110111",  -- INDEX 951
1060
        B"10010110" when B"1110111000",  -- INDEX 952
1061
        B"10111010" when B"1110111001",  -- INDEX 953
1062
        B"11101001" when B"1110111010",  -- INDEX 954
1063
        B"00011011" when B"1110111011",  -- INDEX 955
1064
        B"01001010" when B"1110111100",  -- INDEX 956
1065
        B"01101100" when B"1110111101",  -- INDEX 957
1066
        B"01111110" when B"1110111110",  -- INDEX 958
1067
        B"01111101" when B"1110111111",  -- INDEX 959
1068
        B"01101000" when B"1111000000",  -- INDEX 960
1069
        B"01000010" when B"1111000001",  -- INDEX 961
1070
        B"00010011" when B"1111000010",  -- INDEX 962
1071
        B"11100001" when B"1111000011",  -- INDEX 963
1072
        B"10110011" when B"1111000100",  -- INDEX 964
1073
        B"10010010" when B"1111000101",  -- INDEX 965
1074
        B"10000001" when B"1111000110",  -- INDEX 966
1075
        B"10000100" when B"1111000111",  -- INDEX 967
1076
        B"10011011" when B"1111001000",  -- INDEX 968
1077
        B"11000001" when B"1111001001",  -- INDEX 969
1078
        B"11110001" when B"1111001010",  -- INDEX 970
1079
        B"00100011" when B"1111001011",  -- INDEX 971
1080
        B"01010000" when B"1111001100",  -- INDEX 972
1081
        B"01110000" when B"1111001101",  -- INDEX 973
1082
        B"01111111" when B"1111001110",  -- INDEX 974
1083
        B"01111010" when B"1111001111",  -- INDEX 975
1084
        B"01100011" when B"1111010000",  -- INDEX 976
1085
        B"00111011" when B"1111010001",  -- INDEX 977
1086
        B"00001011" when B"1111010010",  -- INDEX 978
1087
        B"11011001" when B"1111010011",  -- INDEX 979
1088
        B"10101101" when B"1111010100",  -- INDEX 980
1089
        B"10001110" when B"1111010101",  -- INDEX 981
1090
        B"10000000" when B"1111010110",  -- INDEX 982
1091
        B"10000111" when B"1111010111",  -- INDEX 983
1092
        B"10100000" when B"1111011000",  -- INDEX 984
1093
        B"11001000" when B"1111011001",  -- INDEX 985
1094
        B"11111001" when B"1111011010",  -- INDEX 986
1095
        B"00101011" when B"1111011011",  -- INDEX 987
1096
        B"01010110" when B"1111011100",  -- INDEX 988
1097
        B"01110100" when B"1111011101",  -- INDEX 989
1098
        B"01111111" when B"1111011110",  -- INDEX 990
1099
        B"01111000" when B"1111011111",  -- INDEX 991
1100
        B"01011101" when B"1111100000",  -- INDEX 992
1101
        B"00110100" when B"1111100001",  -- INDEX 993
1102
        B"00000011" when B"1111100010",  -- INDEX 994
1103
        B"11010001" when B"1111100011",  -- INDEX 995
1104
        B"10100111" when B"1111100100",  -- INDEX 996
1105
        B"10001010" when B"1111100101",  -- INDEX 997
1106
        B"10000000" when B"1111100110",  -- INDEX 998
1107
        B"10001010" when B"1111100111",  -- INDEX 999
1108
 
1109
-- END INPUT FM SIGNAL
1110
        B"00000000" when others;
1111
 
1112
        with counter (09 downto 0) select
1113
        test_signal_fmTri_int <=
1114
-- START INPUT FM-TRI SIGNAL
1115
 
1116
        B"01111111" when B"0000000000",  -- INDEX 0
1117
        B"01110110" when B"0000000001",  -- INDEX 1
1118
        B"01011011" when B"0000000010",  -- INDEX 2
1119
        B"00110010" when B"0000000011",  -- INDEX 3
1120
        B"00000010" when B"0000000100",  -- INDEX 4
1121
        B"11010001" when B"0000000101",  -- INDEX 5
1122
        B"10101000" when B"0000000110",  -- INDEX 6
1123
        B"10001011" when B"0000000111",  -- INDEX 7
1124
        B"10000000" when B"0000001000",  -- INDEX 8
1125
        B"10001000" when B"0000001001",  -- INDEX 9
1126
        B"10100010" when B"0000001010",  -- INDEX 10
1127
        B"11001010" when B"0000001011",  -- INDEX 11
1128
        B"11111010" when B"0000001100",  -- INDEX 12
1129
        B"00101011" when B"0000001101",  -- INDEX 13
1130
        B"01010110" when B"0000001110",  -- INDEX 14
1131
        B"01110011" when B"0000001111",  -- INDEX 15
1132
        B"01111111" when B"0000010000",  -- INDEX 16
1133
        B"01111001" when B"0000010001",  -- INDEX 17
1134
        B"01100000" when B"0000010010",  -- INDEX 18
1135
        B"00111001" when B"0000010011",  -- INDEX 19
1136
        B"00001001" when B"0000010100",  -- INDEX 20
1137
        B"11011000" when B"0000010101",  -- INDEX 21
1138
        B"10101101" when B"0000010110",  -- INDEX 22
1139
        B"10001110" when B"0000010111",  -- INDEX 23
1140
        B"10000000" when B"0000011000",  -- INDEX 24
1141
        B"10000110" when B"0000011001",  -- INDEX 25
1142
        B"10011110" when B"0000011010",  -- INDEX 26
1143
        B"11000100" when B"0000011011",  -- INDEX 27
1144
        B"11110100" when B"0000011100",  -- INDEX 28
1145
        B"00100101" when B"0000011101",  -- INDEX 29
1146
        B"01010001" when B"0000011110",  -- INDEX 30
1147
        B"01110001" when B"0000011111",  -- INDEX 31
1148
        B"01111111" when B"0000100000",  -- INDEX 32
1149
        B"01111011" when B"0000100001",  -- INDEX 33
1150
        B"01100100" when B"0000100010",  -- INDEX 34
1151
        B"00111110" when B"0000100011",  -- INDEX 35
1152
        B"00001111" when B"0000100100",  -- INDEX 36
1153
        B"11011101" when B"0000100101",  -- INDEX 37
1154
        B"10110001" when B"0000100110",  -- INDEX 38
1155
        B"10010001" when B"0000100111",  -- INDEX 39
1156
        B"10000001" when B"0000101000",  -- INDEX 40
1157
        B"10000100" when B"0000101001",  -- INDEX 41
1158
        B"10011010" when B"0000101010",  -- INDEX 42
1159
        B"11000000" when B"0000101011",  -- INDEX 43
1160
        B"11101111" when B"0000101100",  -- INDEX 44
1161
        B"00100000" when B"0000101101",  -- INDEX 45
1162
        B"01001101" when B"0000101110",  -- INDEX 46
1163
        B"01101110" when B"0000101111",  -- INDEX 47
1164
        B"01111111" when B"0000110000",  -- INDEX 48
1165
        B"01111100" when B"0000110001",  -- INDEX 49
1166
        B"01100111" when B"0000110010",  -- INDEX 50
1167
        B"01000010" when B"0000110011",  -- INDEX 51
1168
        B"00010011" when B"0000110100",  -- INDEX 52
1169
        B"11100010" when B"0000110101",  -- INDEX 53
1170
        B"10110101" when B"0000110110",  -- INDEX 54
1171
        B"10010011" when B"0000110111",  -- INDEX 55
1172
        B"10000010" when B"0000111000",  -- INDEX 56
1173
        B"10000011" when B"0000111001",  -- INDEX 57
1174
        B"10011000" when B"0000111010",  -- INDEX 58
1175
        B"10111100" when B"0000111011",  -- INDEX 59
1176
        B"11101011" when B"0000111100",  -- INDEX 60
1177
        B"00011101" when B"0000111101",  -- INDEX 61
1178
        B"01001010" when B"0000111110",  -- INDEX 62
1179
        B"01101100" when B"0000111111",  -- INDEX 63
1180
        B"01111110" when B"0001000000",  -- INDEX 64
1181
        B"01111101" when B"0001000001",  -- INDEX 65
1182
        B"01101001" when B"0001000010",  -- INDEX 66
1183
        B"01000101" when B"0001000011",  -- INDEX 67
1184
        B"00010111" when B"0001000100",  -- INDEX 68
1185
        B"11100101" when B"0001000101",  -- INDEX 69
1186
        B"10110111" when B"0001000110",  -- INDEX 70
1187
        B"10010101" when B"0001000111",  -- INDEX 71
1188
        B"10000010" when B"0001001000",  -- INDEX 72
1189
        B"10000011" when B"0001001001",  -- INDEX 73
1190
        B"10010110" when B"0001001010",  -- INDEX 74
1191
        B"10111010" when B"0001001011",  -- INDEX 75
1192
        B"11101000" when B"0001001100",  -- INDEX 76
1193
        B"00011010" when B"0001001101",  -- INDEX 77
1194
        B"01001000" when B"0001001110",  -- INDEX 78
1195
        B"01101011" when B"0001001111",  -- INDEX 79
1196
        B"01111110" when B"0001010000",  -- INDEX 80
1197
        B"01111101" when B"0001010001",  -- INDEX 81
1198
        B"01101010" when B"0001010010",  -- INDEX 82
1199
        B"01000111" when B"0001010011",  -- INDEX 83
1200
        B"00011001" when B"0001010100",  -- INDEX 84
1201
        B"11100111" when B"0001010101",  -- INDEX 85
1202
        B"10111001" when B"0001010110",  -- INDEX 86
1203
        B"10010101" when B"0001010111",  -- INDEX 87
1204
        B"10000010" when B"0001011000",  -- INDEX 88
1205
        B"10000010" when B"0001011001",  -- INDEX 89
1206
        B"10010110" when B"0001011010",  -- INDEX 90
1207
        B"10111001" when B"0001011011",  -- INDEX 91
1208
        B"11100111" when B"0001011100",  -- INDEX 92
1209
        B"00011001" when B"0001011101",  -- INDEX 93
1210
        B"01000111" when B"0001011110",  -- INDEX 94
1211
        B"01101010" when B"0001011111",  -- INDEX 95
1212
        B"01111110" when B"0001100000",  -- INDEX 96
1213
        B"01111110" when B"0001100001",  -- INDEX 97
1214
        B"01101011" when B"0001100010",  -- INDEX 98
1215
        B"01000111" when B"0001100011",  -- INDEX 99
1216
        B"00011001" when B"0001100100",  -- INDEX 100
1217
        B"11100111" when B"0001100101",  -- INDEX 101
1218
        B"10111001" when B"0001100110",  -- INDEX 102
1219
        B"10010110" when B"0001100111",  -- INDEX 103
1220
        B"10000011" when B"0001101000",  -- INDEX 104
1221
        B"10000010" when B"0001101001",  -- INDEX 105
1222
        B"10010101" when B"0001101010",  -- INDEX 106
1223
        B"10111001" when B"0001101011",  -- INDEX 107
1224
        B"11100111" when B"0001101100",  -- INDEX 108
1225
        B"00011001" when B"0001101101",  -- INDEX 109
1226
        B"01000111" when B"0001101110",  -- INDEX 110
1227
        B"01101010" when B"0001101111",  -- INDEX 111
1228
        B"01111110" when B"0001110000",  -- INDEX 112
1229
        B"01111110" when B"0001110001",  -- INDEX 113
1230
        B"01101010" when B"0001110010",  -- INDEX 114
1231
        B"01000111" when B"0001110011",  -- INDEX 115
1232
        B"00011001" when B"0001110100",  -- INDEX 116
1233
        B"11100111" when B"0001110101",  -- INDEX 117
1234
        B"10111000" when B"0001110110",  -- INDEX 118
1235
        B"10010101" when B"0001110111",  -- INDEX 119
1236
        B"10000010" when B"0001111000",  -- INDEX 120
1237
        B"10000011" when B"0001111001",  -- INDEX 121
1238
        B"10010110" when B"0001111010",  -- INDEX 122
1239
        B"10111010" when B"0001111011",  -- INDEX 123
1240
        B"11101000" when B"0001111100",  -- INDEX 124
1241
        B"00011010" when B"0001111101",  -- INDEX 125
1242
        B"01001000" when B"0001111110",  -- INDEX 126
1243
        B"01101011" when B"0001111111",  -- INDEX 127
1244
        B"01111110" when B"0010000000",  -- INDEX 128
1245
        B"01111101" when B"0010000001",  -- INDEX 129
1246
        B"01101001" when B"0010000010",  -- INDEX 130
1247
        B"01000101" when B"0010000011",  -- INDEX 131
1248
        B"00010111" when B"0010000100",  -- INDEX 132
1249
        B"11100101" when B"0010000101",  -- INDEX 133
1250
        B"10110111" when B"0010000110",  -- INDEX 134
1251
        B"10010100" when B"0010000111",  -- INDEX 135
1252
        B"10000010" when B"0010001000",  -- INDEX 136
1253
        B"10000011" when B"0010001001",  -- INDEX 137
1254
        B"10010111" when B"0010001010",  -- INDEX 138
1255
        B"10111100" when B"0010001011",  -- INDEX 139
1256
        B"11101011" when B"0010001100",  -- INDEX 140
1257
        B"00011101" when B"0010001101",  -- INDEX 141
1258
        B"01001010" when B"0010001110",  -- INDEX 142
1259
        B"01101101" when B"0010001111",  -- INDEX 143
1260
        B"01111110" when B"0010010000",  -- INDEX 144
1261
        B"01111101" when B"0010010001",  -- INDEX 145
1262
        B"01101000" when B"0010010010",  -- INDEX 146
1263
        B"01000011" when B"0010010011",  -- INDEX 147
1264
        B"00010100" when B"0010010100",  -- INDEX 148
1265
        B"11100010" when B"0010010101",  -- INDEX 149
1266
        B"10110100" when B"0010010110",  -- INDEX 150
1267
        B"10010010" when B"0010010111",  -- INDEX 151
1268
        B"10000001" when B"0010011000",  -- INDEX 152
1269
        B"10000100" when B"0010011001",  -- INDEX 153
1270
        B"10011010" when B"0010011010",  -- INDEX 154
1271
        B"10111111" when B"0010011011",  -- INDEX 155
1272
        B"11101110" when B"0010011100",  -- INDEX 156
1273
        B"00100001" when B"0010011101",  -- INDEX 157
1274
        B"01001110" when B"0010011110",  -- INDEX 158
1275
        B"01101111" when B"0010011111",  -- INDEX 159
1276
        B"01111111" when B"0010100000",  -- INDEX 160
1277
        B"01111011" when B"0010100001",  -- INDEX 161
1278
        B"01100101" when B"0010100010",  -- INDEX 162
1279
        B"00111111" when B"0010100011",  -- INDEX 163
1280
        B"00001111" when B"0010100100",  -- INDEX 164
1281
        B"11011101" when B"0010100101",  -- INDEX 165
1282
        B"10110000" when B"0010100110",  -- INDEX 166
1283
        B"10010000" when B"0010100111",  -- INDEX 167
1284
        B"10000001" when B"0010101000",  -- INDEX 168
1285
        B"10000101" when B"0010101001",  -- INDEX 169
1286
        B"10011101" when B"0010101010",  -- INDEX 170
1287
        B"11000011" when B"0010101011",  -- INDEX 171
1288
        B"11110011" when B"0010101100",  -- INDEX 172
1289
        B"00100110" when B"0010101101",  -- INDEX 173
1290
        B"01010010" when B"0010101110",  -- INDEX 174
1291
        B"01110001" when B"0010101111",  -- INDEX 175
1292
        B"01111111" when B"0010110000",  -- INDEX 176
1293
        B"01111010" when B"0010110001",  -- INDEX 177
1294
        B"01100001" when B"0010110010",  -- INDEX 178
1295
        B"00111010" when B"0010110011",  -- INDEX 179
1296
        B"00001001" when B"0010110100",  -- INDEX 180
1297
        B"11011000" when B"0010110101",  -- INDEX 181
1298
        B"10101100" when B"0010110110",  -- INDEX 182
1299
        B"10001101" when B"0010110111",  -- INDEX 183
1300
        B"10000000" when B"0010111000",  -- INDEX 184
1301
        B"10000111" when B"0010111001",  -- INDEX 185
1302
        B"10100001" when B"0010111010",  -- INDEX 186
1303
        B"11001001" when B"0010111011",  -- INDEX 187
1304
        B"11111010" when B"0010111100",  -- INDEX 188
1305
        B"00101100" when B"0010111101",  -- INDEX 189
1306
        B"01010111" when B"0010111110",  -- INDEX 190
1307
        B"01110100" when B"0010111111",  -- INDEX 191
1308
        B"01111111" when B"0011000000",  -- INDEX 192
1309
        B"01111000" when B"0011000001",  -- INDEX 193
1310
        B"01011101" when B"0011000010",  -- INDEX 194
1311
        B"00110100" when B"0011000011",  -- INDEX 195
1312
        B"00000010" when B"0011000100",  -- INDEX 196
1313
        B"11010001" when B"0011000101",  -- INDEX 197
1314
        B"10100111" when B"0011000110",  -- INDEX 198
1315
        B"10001010" when B"0011000111",  -- INDEX 199
1316
        B"10000000" when B"0011001000",  -- INDEX 200
1317
        B"10001010" when B"0011001001",  -- INDEX 201
1318
        B"10100110" when B"0011001010",  -- INDEX 202
1319
        B"11010000" when B"0011001011",  -- INDEX 203
1320
        B"00000001" when B"0011001100",  -- INDEX 204
1321
        B"00110011" when B"0011001101",  -- INDEX 205
1322
        B"01011100" when B"0011001110",  -- INDEX 206
1323
        B"01110111" when B"0011001111",  -- INDEX 207
1324
        B"01111111" when B"0011010000",  -- INDEX 208
1325
        B"01110101" when B"0011010001",  -- INDEX 209
1326
        B"01010111" when B"0011010010",  -- INDEX 210
1327
        B"00101101" when B"0011010011",  -- INDEX 211
1328
        B"11111011" when B"0011010100",  -- INDEX 212
1329
        B"11001010" when B"0011010101",  -- INDEX 213
1330
        B"10100001" when B"0011010110",  -- INDEX 214
1331
        B"10000111" when B"0011010111",  -- INDEX 215
1332
        B"10000000" when B"0011011000",  -- INDEX 216
1333
        B"10001101" when B"0011011001",  -- INDEX 217
1334
        B"10101011" when B"0011011010",  -- INDEX 218
1335
        B"11010111" when B"0011011011",  -- INDEX 219
1336
        B"00001001" when B"0011011100",  -- INDEX 220
1337
        B"00111001" when B"0011011101",  -- INDEX 221
1338
        B"01100001" when B"0011011110",  -- INDEX 222
1339
        B"01111010" when B"0011011111",  -- INDEX 223
1340
        B"01111111" when B"0011100000",  -- INDEX 224
1341
        B"01110010" when B"0011100001",  -- INDEX 225
1342
        B"01010010" when B"0011100010",  -- INDEX 226
1343
        B"00100110" when B"0011100011",  -- INDEX 227
1344
        B"11110100" when B"0011100100",  -- INDEX 228
1345
        B"11000100" when B"0011100101",  -- INDEX 229
1346
        B"10011101" when B"0011100110",  -- INDEX 230
1347
        B"10000101" when B"0011100111",  -- INDEX 231
1348
        B"10000001" when B"0011101000",  -- INDEX 232
1349
        B"10010000" when B"0011101001",  -- INDEX 233
1350
        B"10110000" when B"0011101010",  -- INDEX 234
1351
        B"11011100" when B"0011101011",  -- INDEX 235
1352
        B"00001110" when B"0011101100",  -- INDEX 236
1353
        B"00111110" when B"0011101101",  -- INDEX 237
1354
        B"01100101" when B"0011101110",  -- INDEX 238
1355
        B"01111011" when B"0011101111",  -- INDEX 239
1356
        B"01111111" when B"0011110000",  -- INDEX 240
1357
        B"01101111" when B"0011110001",  -- INDEX 241
1358
        B"01001110" when B"0011110010",  -- INDEX 242
1359
        B"00100001" when B"0011110011",  -- INDEX 243
1360
        B"11101111" when B"0011110100",  -- INDEX 244
1361
        B"11000000" when B"0011110101",  -- INDEX 245
1362
        B"10011010" when B"0011110110",  -- INDEX 246
1363
        B"10000100" when B"0011110111",  -- INDEX 247
1364
        B"10000001" when B"0011111000",  -- INDEX 248
1365
        B"10010010" when B"0011111001",  -- INDEX 249
1366
        B"10110011" when B"0011111010",  -- INDEX 250
1367
        B"11100001" when B"0011111011",  -- INDEX 251
1368
        B"00010011" when B"0011111100",  -- INDEX 252
1369
        B"01000010" when B"0011111101",  -- INDEX 253
1370
        B"01100111" when B"0011111110",  -- INDEX 254
1371
        B"01111100" when B"0011111111",  -- INDEX 255
1372
        B"01111110" when B"0100000000",  -- INDEX 256
1373
        B"01101101" when B"0100000001",  -- INDEX 257
1374
        B"01001011" when B"0100000010",  -- INDEX 258
1375
        B"00011101" when B"0100000011",  -- INDEX 259
1376
        B"11101011" when B"0100000100",  -- INDEX 260
1377
        B"10111100" when B"0100000101",  -- INDEX 261
1378
        B"10011000" when B"0100000110",  -- INDEX 262
1379
        B"10000011" when B"0100000111",  -- INDEX 263
1380
        B"10000010" when B"0100001000",  -- INDEX 264
1381
        B"10010100" when B"0100001001",  -- INDEX 265
1382
        B"10110110" when B"0100001010",  -- INDEX 266
1383
        B"11100100" when B"0100001011",  -- INDEX 267
1384
        B"00010110" when B"0100001100",  -- INDEX 268
1385
        B"01000101" when B"0100001101",  -- INDEX 269
1386
        B"01101001" when B"0100001110",  -- INDEX 270
1387
        B"01111101" when B"0100001111",  -- INDEX 271
1388
        B"01111110" when B"0100010000",  -- INDEX 272
1389
        B"01101100" when B"0100010001",  -- INDEX 273
1390
        B"01001001" when B"0100010010",  -- INDEX 274
1391
        B"00011011" when B"0100010011",  -- INDEX 275
1392
        B"11101001" when B"0100010100",  -- INDEX 276
1393
        B"10111010" when B"0100010101",  -- INDEX 277
1394
        B"10010110" when B"0100010110",  -- INDEX 278
1395
        B"10000011" when B"0100010111",  -- INDEX 279
1396
        B"10000010" when B"0100011000",  -- INDEX 280
1397
        B"10010101" when B"0100011001",  -- INDEX 281
1398
        B"10111000" when B"0100011010",  -- INDEX 282
1399
        B"11100110" when B"0100011011",  -- INDEX 283
1400
        B"00011000" when B"0100011100",  -- INDEX 284
1401
        B"01000110" when B"0100011101",  -- INDEX 285
1402
        B"01101010" when B"0100011110",  -- INDEX 286
1403
        B"01111101" when B"0100011111",  -- INDEX 287
1404
        B"01111110" when B"0100100000",  -- INDEX 288
1405
        B"01101011" when B"0100100001",  -- INDEX 289
1406
        B"01001000" when B"0100100010",  -- INDEX 290
1407
        B"00011001" when B"0100100011",  -- INDEX 291
1408
        B"11100111" when B"0100100100",  -- INDEX 292
1409
        B"10111001" when B"0100100101",  -- INDEX 293
1410
        B"10010110" when B"0100100110",  -- INDEX 294
1411
        B"10000011" when B"0100100111",  -- INDEX 295
1412
        B"10000010" when B"0100101000",  -- INDEX 296
1413
        B"10010101" when B"0100101001",  -- INDEX 297
1414
        B"10111001" when B"0100101010",  -- INDEX 298
1415
        B"11100111" when B"0100101011",  -- INDEX 299
1416
        B"00011001" when B"0100101100",  -- INDEX 300
1417
        B"01000111" when B"0100101101",  -- INDEX 301
1418
        B"01101010" when B"0100101110",  -- INDEX 302
1419
        B"01111101" when B"0100101111",  -- INDEX 303
1420
        B"01111110" when B"0100110000",  -- INDEX 304
1421
        B"01101011" when B"0100110001",  -- INDEX 305
1422
        B"01000111" when B"0100110010",  -- INDEX 306
1423
        B"00011001" when B"0100110011",  -- INDEX 307
1424
        B"11100111" when B"0100110100",  -- INDEX 308
1425
        B"10111001" when B"0100110101",  -- INDEX 309
1426
        B"10010110" when B"0100110110",  -- INDEX 310
1427
        B"10000011" when B"0100110111",  -- INDEX 311
1428
        B"10000010" when B"0100111000",  -- INDEX 312
1429
        B"10010101" when B"0100111001",  -- INDEX 313
1430
        B"10111000" when B"0100111010",  -- INDEX 314
1431
        B"11100110" when B"0100111011",  -- INDEX 315
1432
        B"00011000" when B"0100111100",  -- INDEX 316
1433
        B"01000110" when B"0100111101",  -- INDEX 317
1434
        B"01101010" when B"0100111110",  -- INDEX 318
1435
        B"01111101" when B"0100111111",  -- INDEX 319
1436
        B"01111110" when B"0101000000",  -- INDEX 320
1437
        B"01101011" when B"0101000001",  -- INDEX 321
1438
        B"01001000" when B"0101000010",  -- INDEX 322
1439
        B"00011010" when B"0101000011",  -- INDEX 323
1440
        B"11101001" when B"0101000100",  -- INDEX 324
1441
        B"10111010" when B"0101000101",  -- INDEX 325
1442
        B"10010111" when B"0101000110",  -- INDEX 326
1443
        B"10000011" when B"0101000111",  -- INDEX 327
1444
        B"10000010" when B"0101001000",  -- INDEX 328
1445
        B"10010100" when B"0101001001",  -- INDEX 329
1446
        B"10110111" when B"0101001010",  -- INDEX 330
1447
        B"11100100" when B"0101001011",  -- INDEX 331
1448
        B"00010110" when B"0101001100",  -- INDEX 332
1449
        B"01000101" when B"0101001101",  -- INDEX 333
1450
        B"01101001" when B"0101001110",  -- INDEX 334
1451
        B"01111101" when B"0101001111",  -- INDEX 335
1452
        B"01111110" when B"0101010000",  -- INDEX 336
1453
        B"01101100" when B"0101010001",  -- INDEX 337
1454
        B"01001010" when B"0101010010",  -- INDEX 338
1455
        B"00011101" when B"0101010011",  -- INDEX 339
1456
        B"11101011" when B"0101010100",  -- INDEX 340
1457
        B"10111101" when B"0101010101",  -- INDEX 341
1458
        B"10011000" when B"0101010110",  -- INDEX 342
1459
        B"10000011" when B"0101010111",  -- INDEX 343
1460
        B"10000010" when B"0101011000",  -- INDEX 344
1461
        B"10010011" when B"0101011001",  -- INDEX 345
1462
        B"10110100" when B"0101011010",  -- INDEX 346
1463
        B"11100001" when B"0101011011",  -- INDEX 347
1464
        B"00010011" when B"0101011100",  -- INDEX 348
1465
        B"01000010" when B"0101011101",  -- INDEX 349
1466
        B"01100111" when B"0101011110",  -- INDEX 350
1467
        B"01111100" when B"0101011111",  -- INDEX 351
1468
        B"01111111" when B"0101100000",  -- INDEX 352
1469
        B"01101110" when B"0101100001",  -- INDEX 353
1470
        B"01001101" when B"0101100010",  -- INDEX 354
1471
        B"00100000" when B"0101100011",  -- INDEX 355
1472
        B"11101111" when B"0101100100",  -- INDEX 356
1473
        B"11000000" when B"0101100101",  -- INDEX 357
1474
        B"10011011" when B"0101100110",  -- INDEX 358
1475
        B"10000100" when B"0101100111",  -- INDEX 359
1476
        B"10000001" when B"0101101000",  -- INDEX 360
1477
        B"10010001" when B"0101101001",  -- INDEX 361
1478
        B"10110001" when B"0101101010",  -- INDEX 362
1479
        B"11011101" when B"0101101011",  -- INDEX 363
1480
        B"00001111" when B"0101101100",  -- INDEX 364
1481
        B"00111110" when B"0101101101",  -- INDEX 365
1482
        B"01100100" when B"0101101110",  -- INDEX 366
1483
        B"01111011" when B"0101101111",  -- INDEX 367
1484
        B"01111111" when B"0101110000",  -- INDEX 368
1485
        B"01110001" when B"0101110001",  -- INDEX 369
1486
        B"01010001" when B"0101110010",  -- INDEX 370
1487
        B"00100101" when B"0101110011",  -- INDEX 371
1488
        B"11110100" when B"0101110100",  -- INDEX 372
1489
        B"11000100" when B"0101110101",  -- INDEX 373
1490
        B"10011110" when B"0101110110",  -- INDEX 374
1491
        B"10000110" when B"0101110111",  -- INDEX 375
1492
        B"10000000" when B"0101111000",  -- INDEX 376
1493
        B"10001110" when B"0101111001",  -- INDEX 377
1494
        B"10101101" when B"0101111010",  -- INDEX 378
1495
        B"11011000" when B"0101111011",  -- INDEX 379
1496
        B"00001001" when B"0101111100",  -- INDEX 380
1497
        B"00111001" when B"0101111101",  -- INDEX 381
1498
        B"01100000" when B"0101111110",  -- INDEX 382
1499
        B"01111001" when B"0101111111",  -- INDEX 383
1500
        B"01111111" when B"0110000000",  -- INDEX 384
1501
        B"01110011" when B"0110000001",  -- INDEX 385
1502
        B"01010110" when B"0110000010",  -- INDEX 386
1503
        B"00101011" when B"0110000011",  -- INDEX 387
1504
        B"11111010" when B"0110000100",  -- INDEX 388
1505
        B"11001010" when B"0110000101",  -- INDEX 389
1506
        B"10100010" when B"0110000110",  -- INDEX 390
1507
        B"10001000" when B"0110000111",  -- INDEX 391
1508
        B"10000000" when B"0110001000",  -- INDEX 392
1509
        B"10001011" when B"0110001001",  -- INDEX 393
1510
        B"10101000" when B"0110001010",  -- INDEX 394
1511
        B"11010001" when B"0110001011",  -- INDEX 395
1512
        B"00000010" when B"0110001100",  -- INDEX 396
1513
        B"00110010" when B"0110001101",  -- INDEX 397
1514
        B"01011011" when B"0110001110",  -- INDEX 398
1515
        B"01110110" when B"0110001111",  -- INDEX 399
1516
        B"01111111" when B"0110010000",  -- INDEX 400
1517
        B"01110110" when B"0110010001",  -- INDEX 401
1518
        B"01011011" when B"0110010010",  -- INDEX 402
1519
        B"00110010" when B"0110010011",  -- INDEX 403
1520
        B"00000010" when B"0110010100",  -- INDEX 404
1521
        B"11010001" when B"0110010101",  -- INDEX 405
1522
        B"10101000" when B"0110010110",  -- INDEX 406
1523
        B"10001011" when B"0110010111",  -- INDEX 407
1524
        B"10000000" when B"0110011000",  -- INDEX 408
1525
        B"10001000" when B"0110011001",  -- INDEX 409
1526
        B"10100010" when B"0110011010",  -- INDEX 410
1527
        B"11001010" when B"0110011011",  -- INDEX 411
1528
        B"11111010" when B"0110011100",  -- INDEX 412
1529
        B"00101011" when B"0110011101",  -- INDEX 413
1530
        B"01010110" when B"0110011110",  -- INDEX 414
1531
        B"01110011" when B"0110011111",  -- INDEX 415
1532
        B"01111111" when B"0110100000",  -- INDEX 416
1533
        B"01111001" when B"0110100001",  -- INDEX 417
1534
        B"01100000" when B"0110100010",  -- INDEX 418
1535
        B"00111001" when B"0110100011",  -- INDEX 419
1536
        B"00001001" when B"0110100100",  -- INDEX 420
1537
        B"11011000" when B"0110100101",  -- INDEX 421
1538
        B"10101101" when B"0110100110",  -- INDEX 422
1539
        B"10001110" when B"0110100111",  -- INDEX 423
1540
        B"10000000" when B"0110101000",  -- INDEX 424
1541
        B"10000110" when B"0110101001",  -- INDEX 425
1542
        B"10011110" when B"0110101010",  -- INDEX 426
1543
        B"11000100" when B"0110101011",  -- INDEX 427
1544
        B"11110100" when B"0110101100",  -- INDEX 428
1545
        B"00100101" when B"0110101101",  -- INDEX 429
1546
        B"01010001" when B"0110101110",  -- INDEX 430
1547
        B"01110001" when B"0110101111",  -- INDEX 431
1548
        B"01111111" when B"0110110000",  -- INDEX 432
1549
        B"01111011" when B"0110110001",  -- INDEX 433
1550
        B"01100100" when B"0110110010",  -- INDEX 434
1551
        B"00111110" when B"0110110011",  -- INDEX 435
1552
        B"00001111" when B"0110110100",  -- INDEX 436
1553
        B"11011101" when B"0110110101",  -- INDEX 437
1554
        B"10110001" when B"0110110110",  -- INDEX 438
1555
        B"10010001" when B"0110110111",  -- INDEX 439
1556
        B"10000001" when B"0110111000",  -- INDEX 440
1557
        B"10000100" when B"0110111001",  -- INDEX 441
1558
        B"10011010" when B"0110111010",  -- INDEX 442
1559
        B"11000000" when B"0110111011",  -- INDEX 443
1560
        B"11101111" when B"0110111100",  -- INDEX 444
1561
        B"00100000" when B"0110111101",  -- INDEX 445
1562
        B"01001101" when B"0110111110",  -- INDEX 446
1563
        B"01101110" when B"0110111111",  -- INDEX 447
1564
        B"01111111" when B"0111000000",  -- INDEX 448
1565
        B"01111100" when B"0111000001",  -- INDEX 449
1566
        B"01100111" when B"0111000010",  -- INDEX 450
1567
        B"01000010" when B"0111000011",  -- INDEX 451
1568
        B"00010011" when B"0111000100",  -- INDEX 452
1569
        B"11100010" when B"0111000101",  -- INDEX 453
1570
        B"10110101" when B"0111000110",  -- INDEX 454
1571
        B"10010011" when B"0111000111",  -- INDEX 455
1572
        B"10000010" when B"0111001000",  -- INDEX 456
1573
        B"10000011" when B"0111001001",  -- INDEX 457
1574
        B"10011000" when B"0111001010",  -- INDEX 458
1575
        B"10111100" when B"0111001011",  -- INDEX 459
1576
        B"11101011" when B"0111001100",  -- INDEX 460
1577
        B"00011101" when B"0111001101",  -- INDEX 461
1578
        B"01001010" when B"0111001110",  -- INDEX 462
1579
        B"01101100" when B"0111001111",  -- INDEX 463
1580
        B"01111110" when B"0111010000",  -- INDEX 464
1581
        B"01111101" when B"0111010001",  -- INDEX 465
1582
        B"01101001" when B"0111010010",  -- INDEX 466
1583
        B"01000101" when B"0111010011",  -- INDEX 467
1584
        B"00010111" when B"0111010100",  -- INDEX 468
1585
        B"11100101" when B"0111010101",  -- INDEX 469
1586
        B"10110111" when B"0111010110",  -- INDEX 470
1587
        B"10010101" when B"0111010111",  -- INDEX 471
1588
        B"10000010" when B"0111011000",  -- INDEX 472
1589
        B"10000011" when B"0111011001",  -- INDEX 473
1590
        B"10010110" when B"0111011010",  -- INDEX 474
1591
        B"10111010" when B"0111011011",  -- INDEX 475
1592
        B"11101000" when B"0111011100",  -- INDEX 476
1593
        B"00011010" when B"0111011101",  -- INDEX 477
1594
        B"01001000" when B"0111011110",  -- INDEX 478
1595
        B"01101011" when B"0111011111",  -- INDEX 479
1596
        B"01111110" when B"0111100000",  -- INDEX 480
1597
        B"01111101" when B"0111100001",  -- INDEX 481
1598
        B"01101010" when B"0111100010",  -- INDEX 482
1599
        B"01000111" when B"0111100011",  -- INDEX 483
1600
        B"00011001" when B"0111100100",  -- INDEX 484
1601
        B"11100111" when B"0111100101",  -- INDEX 485
1602
        B"10111001" when B"0111100110",  -- INDEX 486
1603
        B"10010101" when B"0111100111",  -- INDEX 487
1604
        B"10000010" when B"0111101000",  -- INDEX 488
1605
        B"10000010" when B"0111101001",  -- INDEX 489
1606
        B"10010110" when B"0111101010",  -- INDEX 490
1607
        B"10111001" when B"0111101011",  -- INDEX 491
1608
        B"11100111" when B"0111101100",  -- INDEX 492
1609
        B"00011001" when B"0111101101",  -- INDEX 493
1610
        B"01000111" when B"0111101110",  -- INDEX 494
1611
        B"01101010" when B"0111101111",  -- INDEX 495
1612
        B"01111110" when B"0111110000",  -- INDEX 496
1613
        B"01111110" when B"0111110001",  -- INDEX 497
1614
        B"01101011" when B"0111110010",  -- INDEX 498
1615
        B"01000111" when B"0111110011",  -- INDEX 499
1616
        B"00011001" when B"0111110100",  -- INDEX 500
1617
        B"11100111" when B"0111110101",  -- INDEX 501
1618
        B"10111001" when B"0111110110",  -- INDEX 502
1619
        B"10010110" when B"0111110111",  -- INDEX 503
1620
        B"10000011" when B"0111111000",  -- INDEX 504
1621
        B"10000010" when B"0111111001",  -- INDEX 505
1622
        B"10010101" when B"0111111010",  -- INDEX 506
1623
        B"10111001" when B"0111111011",  -- INDEX 507
1624
        B"11100111" when B"0111111100",  -- INDEX 508
1625
        B"00011001" when B"0111111101",  -- INDEX 509
1626
        B"01000111" when B"0111111110",  -- INDEX 510
1627
        B"01101010" when B"0111111111",  -- INDEX 511
1628
        B"01111110" when B"1000000000",  -- INDEX 512
1629
        B"01111110" when B"1000000001",  -- INDEX 513
1630
        B"01101010" when B"1000000010",  -- INDEX 514
1631
        B"01000111" when B"1000000011",  -- INDEX 515
1632
        B"00011001" when B"1000000100",  -- INDEX 516
1633
        B"11100111" when B"1000000101",  -- INDEX 517
1634
        B"10111000" when B"1000000110",  -- INDEX 518
1635
        B"10010101" when B"1000000111",  -- INDEX 519
1636
        B"10000010" when B"1000001000",  -- INDEX 520
1637
        B"10000011" when B"1000001001",  -- INDEX 521
1638
        B"10010110" when B"1000001010",  -- INDEX 522
1639
        B"10111010" when B"1000001011",  -- INDEX 523
1640
        B"11101000" when B"1000001100",  -- INDEX 524
1641
        B"00011010" when B"1000001101",  -- INDEX 525
1642
        B"01001000" when B"1000001110",  -- INDEX 526
1643
        B"01101011" when B"1000001111",  -- INDEX 527
1644
        B"01111110" when B"1000010000",  -- INDEX 528
1645
        B"01111101" when B"1000010001",  -- INDEX 529
1646
        B"01101001" when B"1000010010",  -- INDEX 530
1647
        B"01000101" when B"1000010011",  -- INDEX 531
1648
        B"00010111" when B"1000010100",  -- INDEX 532
1649
        B"11100101" when B"1000010101",  -- INDEX 533
1650
        B"10110111" when B"1000010110",  -- INDEX 534
1651
        B"10010100" when B"1000010111",  -- INDEX 535
1652
        B"10000010" when B"1000011000",  -- INDEX 536
1653
        B"10000011" when B"1000011001",  -- INDEX 537
1654
        B"10010111" when B"1000011010",  -- INDEX 538
1655
        B"10111100" when B"1000011011",  -- INDEX 539
1656
        B"11101011" when B"1000011100",  -- INDEX 540
1657
        B"00011101" when B"1000011101",  -- INDEX 541
1658
        B"01001010" when B"1000011110",  -- INDEX 542
1659
        B"01101101" when B"1000011111",  -- INDEX 543
1660
        B"01111110" when B"1000100000",  -- INDEX 544
1661
        B"01111101" when B"1000100001",  -- INDEX 545
1662
        B"01101000" when B"1000100010",  -- INDEX 546
1663
        B"01000011" when B"1000100011",  -- INDEX 547
1664
        B"00010100" when B"1000100100",  -- INDEX 548
1665
        B"11100010" when B"1000100101",  -- INDEX 549
1666
        B"10110100" when B"1000100110",  -- INDEX 550
1667
        B"10010010" when B"1000100111",  -- INDEX 551
1668
        B"10000001" when B"1000101000",  -- INDEX 552
1669
        B"10000100" when B"1000101001",  -- INDEX 553
1670
        B"10011010" when B"1000101010",  -- INDEX 554
1671
        B"10111111" when B"1000101011",  -- INDEX 555
1672
        B"11101110" when B"1000101100",  -- INDEX 556
1673
        B"00100001" when B"1000101101",  -- INDEX 557
1674
        B"01001110" when B"1000101110",  -- INDEX 558
1675
        B"01101111" when B"1000101111",  -- INDEX 559
1676
        B"01111111" when B"1000110000",  -- INDEX 560
1677
        B"01111011" when B"1000110001",  -- INDEX 561
1678
        B"01100101" when B"1000110010",  -- INDEX 562
1679
        B"00111111" when B"1000110011",  -- INDEX 563
1680
        B"00001111" when B"1000110100",  -- INDEX 564
1681
        B"11011101" when B"1000110101",  -- INDEX 565
1682
        B"10110000" when B"1000110110",  -- INDEX 566
1683
        B"10010000" when B"1000110111",  -- INDEX 567
1684
        B"10000001" when B"1000111000",  -- INDEX 568
1685
        B"10000101" when B"1000111001",  -- INDEX 569
1686
        B"10011101" when B"1000111010",  -- INDEX 570
1687
        B"11000011" when B"1000111011",  -- INDEX 571
1688
        B"11110011" when B"1000111100",  -- INDEX 572
1689
        B"00100110" when B"1000111101",  -- INDEX 573
1690
        B"01010010" when B"1000111110",  -- INDEX 574
1691
        B"01110001" when B"1000111111",  -- INDEX 575
1692
        B"01111111" when B"1001000000",  -- INDEX 576
1693
        B"01111010" when B"1001000001",  -- INDEX 577
1694
        B"01100001" when B"1001000010",  -- INDEX 578
1695
        B"00111010" when B"1001000011",  -- INDEX 579
1696
        B"00001001" when B"1001000100",  -- INDEX 580
1697
        B"11011000" when B"1001000101",  -- INDEX 581
1698
        B"10101100" when B"1001000110",  -- INDEX 582
1699
        B"10001101" when B"1001000111",  -- INDEX 583
1700
        B"10000000" when B"1001001000",  -- INDEX 584
1701
        B"10000111" when B"1001001001",  -- INDEX 585
1702
        B"10100001" when B"1001001010",  -- INDEX 586
1703
        B"11001001" when B"1001001011",  -- INDEX 587
1704
        B"11111010" when B"1001001100",  -- INDEX 588
1705
        B"00101100" when B"1001001101",  -- INDEX 589
1706
        B"01010111" when B"1001001110",  -- INDEX 590
1707
        B"01110100" when B"1001001111",  -- INDEX 591
1708
        B"01111111" when B"1001010000",  -- INDEX 592
1709
        B"01111000" when B"1001010001",  -- INDEX 593
1710
        B"01011101" when B"1001010010",  -- INDEX 594
1711
        B"00110100" when B"1001010011",  -- INDEX 595
1712
        B"00000010" when B"1001010100",  -- INDEX 596
1713
        B"11010001" when B"1001010101",  -- INDEX 597
1714
        B"10100111" when B"1001010110",  -- INDEX 598
1715
        B"10001010" when B"1001010111",  -- INDEX 599
1716
        B"10000000" when B"1001011000",  -- INDEX 600
1717
        B"10001010" when B"1001011001",  -- INDEX 601
1718
        B"10100110" when B"1001011010",  -- INDEX 602
1719
        B"11010000" when B"1001011011",  -- INDEX 603
1720
        B"00000001" when B"1001011100",  -- INDEX 604
1721
        B"00110011" when B"1001011101",  -- INDEX 605
1722
        B"01011100" when B"1001011110",  -- INDEX 606
1723
        B"01110111" when B"1001011111",  -- INDEX 607
1724
        B"01111111" when B"1001100000",  -- INDEX 608
1725
        B"01110101" when B"1001100001",  -- INDEX 609
1726
        B"01010111" when B"1001100010",  -- INDEX 610
1727
        B"00101101" when B"1001100011",  -- INDEX 611
1728
        B"11111011" when B"1001100100",  -- INDEX 612
1729
        B"11001010" when B"1001100101",  -- INDEX 613
1730
        B"10100001" when B"1001100110",  -- INDEX 614
1731
        B"10000111" when B"1001100111",  -- INDEX 615
1732
        B"10000000" when B"1001101000",  -- INDEX 616
1733
        B"10001101" when B"1001101001",  -- INDEX 617
1734
        B"10101011" when B"1001101010",  -- INDEX 618
1735
        B"11010111" when B"1001101011",  -- INDEX 619
1736
        B"00001001" when B"1001101100",  -- INDEX 620
1737
        B"00111001" when B"1001101101",  -- INDEX 621
1738
        B"01100001" when B"1001101110",  -- INDEX 622
1739
        B"01111010" when B"1001101111",  -- INDEX 623
1740
        B"01111111" when B"1001110000",  -- INDEX 624
1741
        B"01110010" when B"1001110001",  -- INDEX 625
1742
        B"01010010" when B"1001110010",  -- INDEX 626
1743
        B"00100110" when B"1001110011",  -- INDEX 627
1744
        B"11110100" when B"1001110100",  -- INDEX 628
1745
        B"11000100" when B"1001110101",  -- INDEX 629
1746
        B"10011101" when B"1001110110",  -- INDEX 630
1747
        B"10000101" when B"1001110111",  -- INDEX 631
1748
        B"10000001" when B"1001111000",  -- INDEX 632
1749
        B"10010000" when B"1001111001",  -- INDEX 633
1750
        B"10110000" when B"1001111010",  -- INDEX 634
1751
        B"11011100" when B"1001111011",  -- INDEX 635
1752
        B"00001110" when B"1001111100",  -- INDEX 636
1753
        B"00111110" when B"1001111101",  -- INDEX 637
1754
        B"01100101" when B"1001111110",  -- INDEX 638
1755
        B"01111011" when B"1001111111",  -- INDEX 639
1756
        B"01111111" when B"1010000000",  -- INDEX 640
1757
        B"01101111" when B"1010000001",  -- INDEX 641
1758
        B"01001110" when B"1010000010",  -- INDEX 642
1759
        B"00100001" when B"1010000011",  -- INDEX 643
1760
        B"11101111" when B"1010000100",  -- INDEX 644
1761
        B"11000000" when B"1010000101",  -- INDEX 645
1762
        B"10011010" when B"1010000110",  -- INDEX 646
1763
        B"10000100" when B"1010000111",  -- INDEX 647
1764
        B"10000001" when B"1010001000",  -- INDEX 648
1765
        B"10010010" when B"1010001001",  -- INDEX 649
1766
        B"10110011" when B"1010001010",  -- INDEX 650
1767
        B"11100001" when B"1010001011",  -- INDEX 651
1768
        B"00010011" when B"1010001100",  -- INDEX 652
1769
        B"01000010" when B"1010001101",  -- INDEX 653
1770
        B"01100111" when B"1010001110",  -- INDEX 654
1771
        B"01111100" when B"1010001111",  -- INDEX 655
1772
        B"01111110" when B"1010010000",  -- INDEX 656
1773
        B"01101101" when B"1010010001",  -- INDEX 657
1774
        B"01001011" when B"1010010010",  -- INDEX 658
1775
        B"00011101" when B"1010010011",  -- INDEX 659
1776
        B"11101011" when B"1010010100",  -- INDEX 660
1777
        B"10111100" when B"1010010101",  -- INDEX 661
1778
        B"10011000" when B"1010010110",  -- INDEX 662
1779
        B"10000011" when B"1010010111",  -- INDEX 663
1780
        B"10000010" when B"1010011000",  -- INDEX 664
1781
        B"10010100" when B"1010011001",  -- INDEX 665
1782
        B"10110110" when B"1010011010",  -- INDEX 666
1783
        B"11100100" when B"1010011011",  -- INDEX 667
1784
        B"00010110" when B"1010011100",  -- INDEX 668
1785
        B"01000101" when B"1010011101",  -- INDEX 669
1786
        B"01101001" when B"1010011110",  -- INDEX 670
1787
        B"01111101" when B"1010011111",  -- INDEX 671
1788
        B"01111110" when B"1010100000",  -- INDEX 672
1789
        B"01101100" when B"1010100001",  -- INDEX 673
1790
        B"01001001" when B"1010100010",  -- INDEX 674
1791
        B"00011011" when B"1010100011",  -- INDEX 675
1792
        B"11101001" when B"1010100100",  -- INDEX 676
1793
        B"10111010" when B"1010100101",  -- INDEX 677
1794
        B"10010110" when B"1010100110",  -- INDEX 678
1795
        B"10000011" when B"1010100111",  -- INDEX 679
1796
        B"10000010" when B"1010101000",  -- INDEX 680
1797
        B"10010101" when B"1010101001",  -- INDEX 681
1798
        B"10111000" when B"1010101010",  -- INDEX 682
1799
        B"11100110" when B"1010101011",  -- INDEX 683
1800
        B"00011000" when B"1010101100",  -- INDEX 684
1801
        B"01000110" when B"1010101101",  -- INDEX 685
1802
        B"01101010" when B"1010101110",  -- INDEX 686
1803
        B"01111101" when B"1010101111",  -- INDEX 687
1804
        B"01111110" when B"1010110000",  -- INDEX 688
1805
        B"01101011" when B"1010110001",  -- INDEX 689
1806
        B"01001000" when B"1010110010",  -- INDEX 690
1807
        B"00011001" when B"1010110011",  -- INDEX 691
1808
        B"11100111" when B"1010110100",  -- INDEX 692
1809
        B"10111001" when B"1010110101",  -- INDEX 693
1810
        B"10010110" when B"1010110110",  -- INDEX 694
1811
        B"10000011" when B"1010110111",  -- INDEX 695
1812
        B"10000010" when B"1010111000",  -- INDEX 696
1813
        B"10010101" when B"1010111001",  -- INDEX 697
1814
        B"10111001" when B"1010111010",  -- INDEX 698
1815
        B"11100111" when B"1010111011",  -- INDEX 699
1816
        B"00011001" when B"1010111100",  -- INDEX 700
1817
        B"01000111" when B"1010111101",  -- INDEX 701
1818
        B"01101010" when B"1010111110",  -- INDEX 702
1819
        B"01111101" when B"1010111111",  -- INDEX 703
1820
        B"01111110" when B"1011000000",  -- INDEX 704
1821
        B"01101011" when B"1011000001",  -- INDEX 705
1822
        B"01000111" when B"1011000010",  -- INDEX 706
1823
        B"00011001" when B"1011000011",  -- INDEX 707
1824
        B"11100111" when B"1011000100",  -- INDEX 708
1825
        B"10111001" when B"1011000101",  -- INDEX 709
1826
        B"10010110" when B"1011000110",  -- INDEX 710
1827
        B"10000011" when B"1011000111",  -- INDEX 711
1828
        B"10000010" when B"1011001000",  -- INDEX 712
1829
        B"10010101" when B"1011001001",  -- INDEX 713
1830
        B"10111000" when B"1011001010",  -- INDEX 714
1831
        B"11100110" when B"1011001011",  -- INDEX 715
1832
        B"00011000" when B"1011001100",  -- INDEX 716
1833
        B"01000110" when B"1011001101",  -- INDEX 717
1834
        B"01101010" when B"1011001110",  -- INDEX 718
1835
        B"01111101" when B"1011001111",  -- INDEX 719
1836
        B"01111110" when B"1011010000",  -- INDEX 720
1837
        B"01101011" when B"1011010001",  -- INDEX 721
1838
        B"01001000" when B"1011010010",  -- INDEX 722
1839
        B"00011010" when B"1011010011",  -- INDEX 723
1840
        B"11101001" when B"1011010100",  -- INDEX 724
1841
        B"10111010" when B"1011010101",  -- INDEX 725
1842
        B"10010111" when B"1011010110",  -- INDEX 726
1843
        B"10000011" when B"1011010111",  -- INDEX 727
1844
        B"10000010" when B"1011011000",  -- INDEX 728
1845
        B"10010100" when B"1011011001",  -- INDEX 729
1846
        B"10110111" when B"1011011010",  -- INDEX 730
1847
        B"11100100" when B"1011011011",  -- INDEX 731
1848
        B"00010110" when B"1011011100",  -- INDEX 732
1849
        B"01000101" when B"1011011101",  -- INDEX 733
1850
        B"01101001" when B"1011011110",  -- INDEX 734
1851
        B"01111101" when B"1011011111",  -- INDEX 735
1852
        B"01111110" when B"1011100000",  -- INDEX 736
1853
        B"01101100" when B"1011100001",  -- INDEX 737
1854
        B"01001010" when B"1011100010",  -- INDEX 738
1855
        B"00011101" when B"1011100011",  -- INDEX 739
1856
        B"11101011" when B"1011100100",  -- INDEX 740
1857
        B"10111101" when B"1011100101",  -- INDEX 741
1858
        B"10011000" when B"1011100110",  -- INDEX 742
1859
        B"10000011" when B"1011100111",  -- INDEX 743
1860
        B"10000010" when B"1011101000",  -- INDEX 744
1861
        B"10010011" when B"1011101001",  -- INDEX 745
1862
        B"10110100" when B"1011101010",  -- INDEX 746
1863
        B"11100001" when B"1011101011",  -- INDEX 747
1864
        B"00010011" when B"1011101100",  -- INDEX 748
1865
        B"01000010" when B"1011101101",  -- INDEX 749
1866
        B"01100111" when B"1011101110",  -- INDEX 750
1867
        B"01111100" when B"1011101111",  -- INDEX 751
1868
        B"01111111" when B"1011110000",  -- INDEX 752
1869
        B"01101110" when B"1011110001",  -- INDEX 753
1870
        B"01001101" when B"1011110010",  -- INDEX 754
1871
        B"00100000" when B"1011110011",  -- INDEX 755
1872
        B"11101111" when B"1011110100",  -- INDEX 756
1873
        B"11000000" when B"1011110101",  -- INDEX 757
1874
        B"10011011" when B"1011110110",  -- INDEX 758
1875
        B"10000100" when B"1011110111",  -- INDEX 759
1876
        B"10000001" when B"1011111000",  -- INDEX 760
1877
        B"10010001" when B"1011111001",  -- INDEX 761
1878
        B"10110001" when B"1011111010",  -- INDEX 762
1879
        B"11011101" when B"1011111011",  -- INDEX 763
1880
        B"00001111" when B"1011111100",  -- INDEX 764
1881
        B"00111110" when B"1011111101",  -- INDEX 765
1882
        B"01100100" when B"1011111110",  -- INDEX 766
1883
        B"01111011" when B"1011111111",  -- INDEX 767
1884
        B"01111111" when B"1100000000",  -- INDEX 768
1885
        B"01110001" when B"1100000001",  -- INDEX 769
1886
        B"01010001" when B"1100000010",  -- INDEX 770
1887
        B"00100101" when B"1100000011",  -- INDEX 771
1888
        B"11110100" when B"1100000100",  -- INDEX 772
1889
        B"11000100" when B"1100000101",  -- INDEX 773
1890
        B"10011110" when B"1100000110",  -- INDEX 774
1891
        B"10000110" when B"1100000111",  -- INDEX 775
1892
        B"10000000" when B"1100001000",  -- INDEX 776
1893
        B"10001110" when B"1100001001",  -- INDEX 777
1894
        B"10101101" when B"1100001010",  -- INDEX 778
1895
        B"11011000" when B"1100001011",  -- INDEX 779
1896
        B"00001001" when B"1100001100",  -- INDEX 780
1897
        B"00111001" when B"1100001101",  -- INDEX 781
1898
        B"01100000" when B"1100001110",  -- INDEX 782
1899
        B"01111001" when B"1100001111",  -- INDEX 783
1900
        B"01111111" when B"1100010000",  -- INDEX 784
1901
        B"01110011" when B"1100010001",  -- INDEX 785
1902
        B"01010110" when B"1100010010",  -- INDEX 786
1903
        B"00101011" when B"1100010011",  -- INDEX 787
1904
        B"11111010" when B"1100010100",  -- INDEX 788
1905
        B"11001010" when B"1100010101",  -- INDEX 789
1906
        B"10100010" when B"1100010110",  -- INDEX 790
1907
        B"10001000" when B"1100010111",  -- INDEX 791
1908
        B"10000000" when B"1100011000",  -- INDEX 792
1909
        B"10001011" when B"1100011001",  -- INDEX 793
1910
        B"10101000" when B"1100011010",  -- INDEX 794
1911
        B"11010001" when B"1100011011",  -- INDEX 795
1912
        B"00000010" when B"1100011100",  -- INDEX 796
1913
        B"00110010" when B"1100011101",  -- INDEX 797
1914
        B"01011011" when B"1100011110",  -- INDEX 798
1915
        B"01110110" when B"1100011111",  -- INDEX 799
1916
        B"01111111" when B"1100100000",  -- INDEX 800
1917
        B"01110110" when B"1100100001",  -- INDEX 801
1918
        B"01011011" when B"1100100010",  -- INDEX 802
1919
        B"00110010" when B"1100100011",  -- INDEX 803
1920
        B"00000010" when B"1100100100",  -- INDEX 804
1921
        B"11010001" when B"1100100101",  -- INDEX 805
1922
        B"10101000" when B"1100100110",  -- INDEX 806
1923
        B"10001011" when B"1100100111",  -- INDEX 807
1924
        B"10000000" when B"1100101000",  -- INDEX 808
1925
        B"10001000" when B"1100101001",  -- INDEX 809
1926
        B"10100010" when B"1100101010",  -- INDEX 810
1927
        B"11001010" when B"1100101011",  -- INDEX 811
1928
        B"11111010" when B"1100101100",  -- INDEX 812
1929
        B"00101011" when B"1100101101",  -- INDEX 813
1930
        B"01010110" when B"1100101110",  -- INDEX 814
1931
        B"01110011" when B"1100101111",  -- INDEX 815
1932
        B"01111111" when B"1100110000",  -- INDEX 816
1933
        B"01111001" when B"1100110001",  -- INDEX 817
1934
        B"01100000" when B"1100110010",  -- INDEX 818
1935
        B"00111001" when B"1100110011",  -- INDEX 819
1936
        B"00001001" when B"1100110100",  -- INDEX 820
1937
        B"11011000" when B"1100110101",  -- INDEX 821
1938
        B"10101101" when B"1100110110",  -- INDEX 822
1939
        B"10001110" when B"1100110111",  -- INDEX 823
1940
        B"10000000" when B"1100111000",  -- INDEX 824
1941
        B"10000110" when B"1100111001",  -- INDEX 825
1942
        B"10011110" when B"1100111010",  -- INDEX 826
1943
        B"11000100" when B"1100111011",  -- INDEX 827
1944
        B"11110100" when B"1100111100",  -- INDEX 828
1945
        B"00100101" when B"1100111101",  -- INDEX 829
1946
        B"01010001" when B"1100111110",  -- INDEX 830
1947
        B"01110001" when B"1100111111",  -- INDEX 831
1948
        B"01111111" when B"1101000000",  -- INDEX 832
1949
        B"01111011" when B"1101000001",  -- INDEX 833
1950
        B"01100100" when B"1101000010",  -- INDEX 834
1951
        B"00111110" when B"1101000011",  -- INDEX 835
1952
        B"00001111" when B"1101000100",  -- INDEX 836
1953
        B"11011101" when B"1101000101",  -- INDEX 837
1954
        B"10110001" when B"1101000110",  -- INDEX 838
1955
        B"10010001" when B"1101000111",  -- INDEX 839
1956
        B"10000001" when B"1101001000",  -- INDEX 840
1957
        B"10000100" when B"1101001001",  -- INDEX 841
1958
        B"10011010" when B"1101001010",  -- INDEX 842
1959
        B"11000000" when B"1101001011",  -- INDEX 843
1960
        B"11101111" when B"1101001100",  -- INDEX 844
1961
        B"00100000" when B"1101001101",  -- INDEX 845
1962
        B"01001101" when B"1101001110",  -- INDEX 846
1963
        B"01101110" when B"1101001111",  -- INDEX 847
1964
        B"01111111" when B"1101010000",  -- INDEX 848
1965
        B"01111100" when B"1101010001",  -- INDEX 849
1966
        B"01100111" when B"1101010010",  -- INDEX 850
1967
        B"01000010" when B"1101010011",  -- INDEX 851
1968
        B"00010011" when B"1101010100",  -- INDEX 852
1969
        B"11100010" when B"1101010101",  -- INDEX 853
1970
        B"10110101" when B"1101010110",  -- INDEX 854
1971
        B"10010011" when B"1101010111",  -- INDEX 855
1972
        B"10000010" when B"1101011000",  -- INDEX 856
1973
        B"10000011" when B"1101011001",  -- INDEX 857
1974
        B"10011000" when B"1101011010",  -- INDEX 858
1975
        B"10111100" when B"1101011011",  -- INDEX 859
1976
        B"11101011" when B"1101011100",  -- INDEX 860
1977
        B"00011101" when B"1101011101",  -- INDEX 861
1978
        B"01001010" when B"1101011110",  -- INDEX 862
1979
        B"01101100" when B"1101011111",  -- INDEX 863
1980
        B"01111110" when B"1101100000",  -- INDEX 864
1981
        B"01111101" when B"1101100001",  -- INDEX 865
1982
        B"01101001" when B"1101100010",  -- INDEX 866
1983
        B"01000101" when B"1101100011",  -- INDEX 867
1984
        B"00010111" when B"1101100100",  -- INDEX 868
1985
        B"11100101" when B"1101100101",  -- INDEX 869
1986
        B"10110111" when B"1101100110",  -- INDEX 870
1987
        B"10010101" when B"1101100111",  -- INDEX 871
1988
        B"10000010" when B"1101101000",  -- INDEX 872
1989
        B"10000011" when B"1101101001",  -- INDEX 873
1990
        B"10010110" when B"1101101010",  -- INDEX 874
1991
        B"10111010" when B"1101101011",  -- INDEX 875
1992
        B"11101000" when B"1101101100",  -- INDEX 876
1993
        B"00011010" when B"1101101101",  -- INDEX 877
1994
        B"01001000" when B"1101101110",  -- INDEX 878
1995
        B"01101011" when B"1101101111",  -- INDEX 879
1996
        B"01111110" when B"1101110000",  -- INDEX 880
1997
        B"01111101" when B"1101110001",  -- INDEX 881
1998
        B"01101010" when B"1101110010",  -- INDEX 882
1999
        B"01000111" when B"1101110011",  -- INDEX 883
2000
        B"00011001" when B"1101110100",  -- INDEX 884
2001
        B"11100111" when B"1101110101",  -- INDEX 885
2002
        B"10111001" when B"1101110110",  -- INDEX 886
2003
        B"10010101" when B"1101110111",  -- INDEX 887
2004
        B"10000010" when B"1101111000",  -- INDEX 888
2005
        B"10000010" when B"1101111001",  -- INDEX 889
2006
        B"10010110" when B"1101111010",  -- INDEX 890
2007
        B"10111001" when B"1101111011",  -- INDEX 891
2008
        B"11100111" when B"1101111100",  -- INDEX 892
2009
        B"00011001" when B"1101111101",  -- INDEX 893
2010
        B"01000111" when B"1101111110",  -- INDEX 894
2011
        B"01101010" when B"1101111111",  -- INDEX 895
2012
        B"01111110" when B"1110000000",  -- INDEX 896
2013
        B"01111110" when B"1110000001",  -- INDEX 897
2014
        B"01101011" when B"1110000010",  -- INDEX 898
2015
        B"01000111" when B"1110000011",  -- INDEX 899
2016
        B"00011001" when B"1110000100",  -- INDEX 900
2017
        B"11100111" when B"1110000101",  -- INDEX 901
2018
        B"10111001" when B"1110000110",  -- INDEX 902
2019
        B"10010110" when B"1110000111",  -- INDEX 903
2020
        B"10000011" when B"1110001000",  -- INDEX 904
2021
        B"10000010" when B"1110001001",  -- INDEX 905
2022
        B"10010101" when B"1110001010",  -- INDEX 906
2023
        B"10111001" when B"1110001011",  -- INDEX 907
2024
        B"11100111" when B"1110001100",  -- INDEX 908
2025
        B"00011001" when B"1110001101",  -- INDEX 909
2026
        B"01000111" when B"1110001110",  -- INDEX 910
2027
        B"01101010" when B"1110001111",  -- INDEX 911
2028
        B"01111110" when B"1110010000",  -- INDEX 912
2029
        B"01111110" when B"1110010001",  -- INDEX 913
2030
        B"01101010" when B"1110010010",  -- INDEX 914
2031
        B"01000111" when B"1110010011",  -- INDEX 915
2032
        B"00011001" when B"1110010100",  -- INDEX 916
2033
        B"11100111" when B"1110010101",  -- INDEX 917
2034
        B"10111000" when B"1110010110",  -- INDEX 918
2035
        B"10010101" when B"1110010111",  -- INDEX 919
2036
        B"10000010" when B"1110011000",  -- INDEX 920
2037
        B"10000011" when B"1110011001",  -- INDEX 921
2038
        B"10010110" when B"1110011010",  -- INDEX 922
2039
        B"10111010" when B"1110011011",  -- INDEX 923
2040
        B"11101000" when B"1110011100",  -- INDEX 924
2041
        B"00011010" when B"1110011101",  -- INDEX 925
2042
        B"01001000" when B"1110011110",  -- INDEX 926
2043
        B"01101011" when B"1110011111",  -- INDEX 927
2044
        B"01111110" when B"1110100000",  -- INDEX 928
2045
        B"01111101" when B"1110100001",  -- INDEX 929
2046
        B"01101001" when B"1110100010",  -- INDEX 930
2047
        B"01000101" when B"1110100011",  -- INDEX 931
2048
        B"00010111" when B"1110100100",  -- INDEX 932
2049
        B"11100101" when B"1110100101",  -- INDEX 933
2050
        B"10110111" when B"1110100110",  -- INDEX 934
2051
        B"10010100" when B"1110100111",  -- INDEX 935
2052
        B"10000010" when B"1110101000",  -- INDEX 936
2053
        B"10000011" when B"1110101001",  -- INDEX 937
2054
        B"10010111" when B"1110101010",  -- INDEX 938
2055
        B"10111100" when B"1110101011",  -- INDEX 939
2056
        B"11101011" when B"1110101100",  -- INDEX 940
2057
        B"00011101" when B"1110101101",  -- INDEX 941
2058
        B"01001010" when B"1110101110",  -- INDEX 942
2059
        B"01101101" when B"1110101111",  -- INDEX 943
2060
        B"01111110" when B"1110110000",  -- INDEX 944
2061
        B"01111101" when B"1110110001",  -- INDEX 945
2062
        B"01101000" when B"1110110010",  -- INDEX 946
2063
        B"01000011" when B"1110110011",  -- INDEX 947
2064
        B"00010100" when B"1110110100",  -- INDEX 948
2065
        B"11100010" when B"1110110101",  -- INDEX 949
2066
        B"10110100" when B"1110110110",  -- INDEX 950
2067
        B"10010010" when B"1110110111",  -- INDEX 951
2068
        B"10000001" when B"1110111000",  -- INDEX 952
2069
        B"10000100" when B"1110111001",  -- INDEX 953
2070
        B"10011010" when B"1110111010",  -- INDEX 954
2071
        B"10111111" when B"1110111011",  -- INDEX 955
2072
        B"11101110" when B"1110111100",  -- INDEX 956
2073
        B"00100001" when B"1110111101",  -- INDEX 957
2074
        B"01001110" when B"1110111110",  -- INDEX 958
2075
        B"01101111" when B"1110111111",  -- INDEX 959
2076
        B"01111111" when B"1111000000",  -- INDEX 960
2077
        B"01111011" when B"1111000001",  -- INDEX 961
2078
        B"01100101" when B"1111000010",  -- INDEX 962
2079
        B"00111111" when B"1111000011",  -- INDEX 963
2080
        B"00001111" when B"1111000100",  -- INDEX 964
2081
        B"11011101" when B"1111000101",  -- INDEX 965
2082
        B"10110000" when B"1111000110",  -- INDEX 966
2083
        B"10010000" when B"1111000111",  -- INDEX 967
2084
        B"10000001" when B"1111001000",  -- INDEX 968
2085
        B"10000101" when B"1111001001",  -- INDEX 969
2086
        B"10011101" when B"1111001010",  -- INDEX 970
2087
        B"11000011" when B"1111001011",  -- INDEX 971
2088
        B"11110011" when B"1111001100",  -- INDEX 972
2089
        B"00100110" when B"1111001101",  -- INDEX 973
2090
        B"01010010" when B"1111001110",  -- INDEX 974
2091
        B"01110001" when B"1111001111",  -- INDEX 975
2092
        B"01111111" when B"1111010000",  -- INDEX 976
2093
        B"01111010" when B"1111010001",  -- INDEX 977
2094
        B"01100001" when B"1111010010",  -- INDEX 978
2095
        B"00111010" when B"1111010011",  -- INDEX 979
2096
        B"00001001" when B"1111010100",  -- INDEX 980
2097
        B"11011000" when B"1111010101",  -- INDEX 981
2098
        B"10101100" when B"1111010110",  -- INDEX 982
2099
        B"10001101" when B"1111010111",  -- INDEX 983
2100
        B"10000000" when B"1111011000",  -- INDEX 984
2101
        B"10000111" when B"1111011001",  -- INDEX 985
2102
        B"10100001" when B"1111011010",  -- INDEX 986
2103
        B"11001001" when B"1111011011",  -- INDEX 987
2104
        B"11111010" when B"1111011100",  -- INDEX 988
2105
        B"00101100" when B"1111011101",  -- INDEX 989
2106
        B"01010111" when B"1111011110",  -- INDEX 990
2107
        B"01110100" when B"1111011111",  -- INDEX 991
2108
        B"01111111" when B"1111100000",  -- INDEX 992
2109
        B"01111000" when B"1111100001",  -- INDEX 993
2110
        B"01011101" when B"1111100010",  -- INDEX 994
2111
        B"00110100" when B"1111100011",  -- INDEX 995
2112
        B"00000010" when B"1111100100",  -- INDEX 996
2113
        B"11010001" when B"1111100101",  -- INDEX 997
2114
        B"10100111" when B"1111100110",  -- INDEX 998
2115
        B"10001010" when B"1111100111",  -- INDEX 999
2116
 
2117
-- END INPUT FM SIGNAL
2118
        B"00000000" when others;
2119
 
2120
end input_data;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.