OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [bench/] [input_fm.vhdl] - Blame information for rev 46

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 arif_endro
-- ------------------------------------------------------------------------
2 40 arif_endro
-- Copyright (C) 2004 Arif Endro Nugroho
3 46 arif_endro
-- All rights reserved.
4 13 arif_endro
-- 
5 46 arif_endro
-- Redistribution and use in source and binary forms, with or without
6
-- modification, are permitted provided that the following conditions
7
-- are met:
8 13 arif_endro
-- 
9 46 arif_endro
-- 1. Redistributions of source code must retain the above copyright
10
--    notice, this list of conditions and the following disclaimer.
11
-- 2. Redistributions in binary form must reproduce the above copyright
12
--    notice, this list of conditions and the following disclaimer in the
13
--    documentation and/or other materials provided with the distribution.
14 13 arif_endro
-- 
15 46 arif_endro
-- THIS SOFTWARE IS PROVIDED BY ARIF ENDRO NUGROHO "AS IS" AND ANY EXPRESS
16
-- OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
17
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
18
-- DISCLAIMED. IN NO EVENT SHALL ARIF ENDRO NUGROHO BE LIABLE FOR ANY
19
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
20
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
21
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
22
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
23
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
24
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
25
-- POSSIBILITY OF SUCH DAMAGE.
26 13 arif_endro
-- 
27 46 arif_endro
-- End Of License.
28
-- ------------------------------------------------------------------------
29 2 arif_endro
 
30
library IEEE;
31
use IEEE.std_logic_1164.all;
32
 
33
entity input_fm is
34
   port (
35
   clock              : in  bit;
36
   clear              : in  bit;
37
   test_signal_fm     : out bit_vector (07 downto 0);
38
   test_signal_fmTri  : out bit_vector (07 downto 0)
39
   );
40
end input_fm;
41
 
42
architecture input_data of input_fm is
43
component adder_10bit
44
        port (
45
        addend_10bit          : in  bit_vector (09 downto 0);
46
        augend_10bit          : in  bit_vector (09 downto 0);
47
        adder10_output        : out bit_vector (10 downto 0)
48
        );
49
end component;
50
 
51
signal test_signal_fm_int    : bit_vector (07 downto 0);
52
signal test_signal_fmTri_int : bit_vector (07 downto 0);
53
signal counter               : bit_vector (09 downto 0);
54
signal counter_tmp           : bit_vector (10 downto 0);
55
signal one_increment         : bit_vector (09 downto 0);
56 14 arif_endro
 
57 2 arif_endro
begin
58
 
59
 
60
    one_increment (00) <= '1';
61
    one_increment (01) <= '0';
62
    one_increment (02) <= '0';
63
    one_increment (03) <= '0';
64
    one_increment (04) <= '0';
65
    one_increment (05) <= '0';
66
    one_increment (06) <= '0';
67
    one_increment (07) <= '0';
68
    one_increment (08) <= '0';
69
    one_increment (09) <= '0';
70
 
71
counter_one : adder_10bit
72
    port map (
73
    addend_10bit   => counter,
74
    augend_10bit   => one_increment,
75
    adder10_output => counter_tmp
76
    );
77
 
78
process (clock, clear)
79
begin
80 14 arif_endro
 
81 4 arif_endro
    if (clear = '1') then
82 14 arif_endro
                counter              <= (others => '0');
83
                test_signal_fm       <= (others => '0');
84
                test_signal_fmTri    <= (others => '0');
85 4 arif_endro
    elsif (((clock = '1') and (not( clear = '1'))) and clock'event) then
86 2 arif_endro
                counter(09 downto 0) <= counter_tmp(09 downto 0);
87 14 arif_endro
                test_signal_fm       <= test_signal_fm_int;
88
                test_signal_fmTri    <= test_signal_fmTri_int;
89 2 arif_endro
    end if;
90 14 arif_endro
 
91 2 arif_endro
end process;
92
 
93
        with counter (09 downto 0) select
94 14 arif_endro
 
95 2 arif_endro
        test_signal_fm_int <=
96 14 arif_endro
 
97 2 arif_endro
-- START INPUT FM SIGNAL
98
 
99
        B"01111111" when B"0000000000",  -- INDEX 0
100
        B"01110110" when B"0000000001",  -- INDEX 1
101
        B"01011010" when B"0000000010",  -- INDEX 2
102
        B"00110000" when B"0000000011",  -- INDEX 3
103
        B"11111110" when B"0000000100",  -- INDEX 4
104
        B"11001101" when B"0000000101",  -- INDEX 5
105
        B"10100011" when B"0000000110",  -- INDEX 6
106
        B"10001000" when B"0000000111",  -- INDEX 7
107
        B"10000000" when B"0000001000",  -- INDEX 8
108
        B"10001100" when B"0000001001",  -- INDEX 9
109
        B"10101001" when B"0000001010",  -- INDEX 10
110
        B"11010100" when B"0000001011",  -- INDEX 11
111
        B"00000110" when B"0000001100",  -- INDEX 12
112
        B"00110111" when B"0000001101",  -- INDEX 13
113
        B"01011111" when B"0000001110",  -- INDEX 14
114
        B"01111001" when B"0000001111",  -- INDEX 15
115
        B"01111111" when B"0000010000",  -- INDEX 16
116
        B"01110011" when B"0000010001",  -- INDEX 17
117
        B"01010100" when B"0000010010",  -- INDEX 18
118
        B"00101000" when B"0000010011",  -- INDEX 19
119
        B"11110110" when B"0000010100",  -- INDEX 20
120
        B"11000101" when B"0000010101",  -- INDEX 21
121
        B"10011110" when B"0000010110",  -- INDEX 22
122
        B"10000110" when B"0000010111",  -- INDEX 23
123
        B"10000001" when B"0000011000",  -- INDEX 24
124
        B"10001111" when B"0000011001",  -- INDEX 25
125
        B"10101111" when B"0000011010",  -- INDEX 26
126
        B"11011100" when B"0000011011",  -- INDEX 27
127
        B"00001110" when B"0000011100",  -- INDEX 28
128
        B"00111110" when B"0000011101",  -- INDEX 29
129
        B"01100101" when B"0000011110",  -- INDEX 30
130
        B"01111011" when B"0000011111",  -- INDEX 31
131
        B"01111111" when B"0000100000",  -- INDEX 32
132
        B"01101111" when B"0000100001",  -- INDEX 33
133
        B"01001110" when B"0000100010",  -- INDEX 34
134
        B"00100000" when B"0000100011",  -- INDEX 35
135
        B"11101110" when B"0000100100",  -- INDEX 36
136
        B"10111110" when B"0000100101",  -- INDEX 37
137
        B"10011001" when B"0000100110",  -- INDEX 38
138
        B"10000100" when B"0000100111",  -- INDEX 39
139
        B"10000010" when B"0000101000",  -- INDEX 40
140
        B"10010011" when B"0000101001",  -- INDEX 41
141
        B"10110110" when B"0000101010",  -- INDEX 42
142
        B"11100100" when B"0000101011",  -- INDEX 43
143
        B"00010110" when B"0000101100",  -- INDEX 44
144
        B"01000101" when B"0000101101",  -- INDEX 45
145
        B"01101001" when B"0000101110",  -- INDEX 46
146
        B"01111101" when B"0000101111",  -- INDEX 47
147
        B"01111110" when B"0000110000",  -- INDEX 48
148
        B"01101011" when B"0000110001",  -- INDEX 49
149
        B"01000111" when B"0000110010",  -- INDEX 50
150
        B"00011000" when B"0000110011",  -- INDEX 51
151
        B"11100110" when B"0000110100",  -- INDEX 52
152
        B"10111000" when B"0000110101",  -- INDEX 53
153
        B"10010100" when B"0000110110",  -- INDEX 54
154
        B"10000010" when B"0000110111",  -- INDEX 55
155
        B"10000011" when B"0000111000",  -- INDEX 56
156
        B"10011000" when B"0000111001",  -- INDEX 57
157
        B"10111100" when B"0000111010",  -- INDEX 58
158
        B"11101011" when B"0000111011",  -- INDEX 59
159
        B"00011110" when B"0000111100",  -- INDEX 60
160
        B"01001100" when B"0000111101",  -- INDEX 61
161
        B"01101110" when B"0000111110",  -- INDEX 62
162
        B"01111111" when B"0000111111",  -- INDEX 63
163
        B"01111100" when B"0001000000",  -- INDEX 64
164
        B"01100110" when B"0001000001",  -- INDEX 65
165
        B"01000000" when B"0001000010",  -- INDEX 66
166
        B"00010001" when B"0001000011",  -- INDEX 67
167
        B"11011110" when B"0001000100",  -- INDEX 68
168
        B"10110001" when B"0001000101",  -- INDEX 69
169
        B"10010000" when B"0001000110",  -- INDEX 70
170
        B"10000001" when B"0001000111",  -- INDEX 71
171
        B"10000101" when B"0001001000",  -- INDEX 72
172
        B"10011100" when B"0001001001",  -- INDEX 73
173
        B"11000011" when B"0001001010",  -- INDEX 74
174
        B"11110011" when B"0001001011",  -- INDEX 75
175
        B"00100110" when B"0001001100",  -- INDEX 76
176
        B"01010010" when B"0001001101",  -- INDEX 77
177
        B"01110010" when B"0001001110",  -- INDEX 78
178
        B"01111111" when B"0001001111",  -- INDEX 79
179
        B"01111010" when B"0001010000",  -- INDEX 80
180
        B"01100001" when B"0001010001",  -- INDEX 81
181
        B"00111001" when B"0001010010",  -- INDEX 82
182
        B"00001001" when B"0001010011",  -- INDEX 83
183
        B"11010111" when B"0001010100",  -- INDEX 84
184
        B"10101011" when B"0001010101",  -- INDEX 85
185
        B"10001101" when B"0001010110",  -- INDEX 86
186
        B"10000000" when B"0001010111",  -- INDEX 87
187
        B"10001000" when B"0001011000",  -- INDEX 88
188
        B"10100010" when B"0001011001",  -- INDEX 89
189
        B"11001010" when B"0001011010",  -- INDEX 90
190
        B"11111011" when B"0001011011",  -- INDEX 91
191
        B"00101101" when B"0001011100",  -- INDEX 92
192
        B"01011000" when B"0001011101",  -- INDEX 93
193
        B"01110101" when B"0001011110",  -- INDEX 94
194
        B"01111111" when B"0001011111",  -- INDEX 95
195
        B"01110111" when B"0001100000",  -- INDEX 96
196
        B"01011100" when B"0001100001",  -- INDEX 97
197
        B"00110010" when B"0001100010",  -- INDEX 98
198
        B"00000001" when B"0001100011",  -- INDEX 99
199
        B"11001111" when B"0001100100",  -- INDEX 100
200
        B"10100101" when B"0001100101",  -- INDEX 101
201
        B"10001001" when B"0001100110",  -- INDEX 102
202
        B"10000000" when B"0001100111",  -- INDEX 103
203
        B"10001011" when B"0001101000",  -- INDEX 104
204
        B"10100111" when B"0001101001",  -- INDEX 105
205
        B"11010010" when B"0001101010",  -- INDEX 106
206
        B"00000100" when B"0001101011",  -- INDEX 107
207
        B"00110101" when B"0001101100",  -- INDEX 108
208
        B"01011110" when B"0001101101",  -- INDEX 109
209
        B"01111000" when B"0001101110",  -- INDEX 110
210
        B"01111111" when B"0001101111",  -- INDEX 111
211
        B"01110100" when B"0001110000",  -- INDEX 112
212
        B"01010110" when B"0001110001",  -- INDEX 113
213
        B"00101010" when B"0001110010",  -- INDEX 114
214
        B"11111000" when B"0001110011",  -- INDEX 115
215
        B"11001000" when B"0001110100",  -- INDEX 116
216
        B"10100000" when B"0001110101",  -- INDEX 117
217
        B"10000111" when B"0001110110",  -- INDEX 118
218
        B"10000000" when B"0001110111",  -- INDEX 119
219
        B"10001110" when B"0001111000",  -- INDEX 120
220
        B"10101101" when B"0001111001",  -- INDEX 121
221
        B"11011001" when B"0001111010",  -- INDEX 122
222
        B"00001100" when B"0001111011",  -- INDEX 123
223
        B"00111100" when B"0001111100",  -- INDEX 124
224
        B"01100011" when B"0001111101",  -- INDEX 125
225
        B"01111011" when B"0001111110",  -- INDEX 126
226
        B"01111111" when B"0001111111",  -- INDEX 127
227
        B"01110000" when B"0010000000",  -- INDEX 128
228
        B"01010000" when B"0010000001",  -- INDEX 129
229
        B"00100011" when B"0010000010",  -- INDEX 130
230
        B"11110000" when B"0010000011",  -- INDEX 131
231
        B"11000001" when B"0010000100",  -- INDEX 132
232
        B"10011011" when B"0010000101",  -- INDEX 133
233
        B"10000100" when B"0010000110",  -- INDEX 134
234
        B"10000001" when B"0010000111",  -- INDEX 135
235
        B"10010010" when B"0010001000",  -- INDEX 136
236
        B"10110100" when B"0010001001",  -- INDEX 137
237
        B"11100001" when B"0010001010",  -- INDEX 138
238
        B"00010100" when B"0010001011",  -- INDEX 139
239
        B"01000011" when B"0010001100",  -- INDEX 140
240
        B"01101000" when B"0010001101",  -- INDEX 141
241
        B"01111101" when B"0010001110",  -- INDEX 142
242
        B"01111110" when B"0010001111",  -- INDEX 143
243
        B"01101100" when B"0010010000",  -- INDEX 144
244
        B"01001001" when B"0010010001",  -- INDEX 145
245
        B"00011011" when B"0010010010",  -- INDEX 146
246
        B"11101001" when B"0010010011",  -- INDEX 147
247
        B"10111010" when B"0010010100",  -- INDEX 148
248
        B"10010110" when B"0010010101",  -- INDEX 149
249
        B"10000010" when B"0010010110",  -- INDEX 150
250
        B"10000011" when B"0010010111",  -- INDEX 151
251
        B"10010110" when B"0010011000",  -- INDEX 152
252
        B"10111010" when B"0010011001",  -- INDEX 153
253
        B"11101001" when B"0010011010",  -- INDEX 154
254
        B"00011011" when B"0010011011",  -- INDEX 155
255
        B"01001010" when B"0010011100",  -- INDEX 156
256
        B"01101100" when B"0010011101",  -- INDEX 157
257
        B"01111110" when B"0010011110",  -- INDEX 158
258
        B"01111101" when B"0010011111",  -- INDEX 159
259
        B"01101000" when B"0010100000",  -- INDEX 160
260
        B"01000010" when B"0010100001",  -- INDEX 161
261
        B"00010011" when B"0010100010",  -- INDEX 162
262
        B"11100001" when B"0010100011",  -- INDEX 163
263
        B"10110011" when B"0010100100",  -- INDEX 164
264
        B"10010010" when B"0010100101",  -- INDEX 165
265
        B"10000001" when B"0010100110",  -- INDEX 166
266
        B"10000100" when B"0010100111",  -- INDEX 167
267
        B"10011011" when B"0010101000",  -- INDEX 168
268
        B"11000001" when B"0010101001",  -- INDEX 169
269
        B"11110001" when B"0010101010",  -- INDEX 170
270
        B"00100011" when B"0010101011",  -- INDEX 171
271
        B"01010000" when B"0010101100",  -- INDEX 172
272
        B"01110000" when B"0010101101",  -- INDEX 173
273
        B"01111111" when B"0010101110",  -- INDEX 174
274
        B"01111010" when B"0010101111",  -- INDEX 175
275
        B"01100011" when B"0010110000",  -- INDEX 176
276
        B"00111011" when B"0010110001",  -- INDEX 177
277
        B"00001011" when B"0010110010",  -- INDEX 178
278
        B"11011001" when B"0010110011",  -- INDEX 179
279
        B"10101101" when B"0010110100",  -- INDEX 180
280
        B"10001110" when B"0010110101",  -- INDEX 181
281
        B"10000000" when B"0010110110",  -- INDEX 182
282
        B"10000111" when B"0010110111",  -- INDEX 183
283
        B"10100000" when B"0010111000",  -- INDEX 184
284
        B"11001000" when B"0010111001",  -- INDEX 185
285
        B"11111001" when B"0010111010",  -- INDEX 186
286
        B"00101011" when B"0010111011",  -- INDEX 187
287
        B"01010110" when B"0010111100",  -- INDEX 188
288
        B"01110100" when B"0010111101",  -- INDEX 189
289
        B"01111111" when B"0010111110",  -- INDEX 190
290
        B"01111000" when B"0010111111",  -- INDEX 191
291
        B"01011101" when B"0011000000",  -- INDEX 192
292
        B"00110100" when B"0011000001",  -- INDEX 193
293
        B"00000011" when B"0011000010",  -- INDEX 194
294
        B"11010001" when B"0011000011",  -- INDEX 195
295
        B"10100111" when B"0011000100",  -- INDEX 196
296
        B"10001010" when B"0011000101",  -- INDEX 197
297
        B"10000000" when B"0011000110",  -- INDEX 198
298
        B"10001010" when B"0011000111",  -- INDEX 199
299
        B"10100101" when B"0011001000",  -- INDEX 200
300
        B"11001111" when B"0011001001",  -- INDEX 201
301
        B"11111111" when B"0011001010",  -- INDEX 202
302
        B"00110000" when B"0011001011",  -- INDEX 203
303
        B"01011001" when B"0011001100",  -- INDEX 204
304
        B"01110101" when B"0011001101",  -- INDEX 205
305
        B"01111111" when B"0011001110",  -- INDEX 206
306
        B"01111000" when B"0011001111",  -- INDEX 207
307
        B"01011101" when B"0011010000",  -- INDEX 208
308
        B"00110101" when B"0011010001",  -- INDEX 209
309
        B"00000101" when B"0011010010",  -- INDEX 210
310
        B"11010100" when B"0011010011",  -- INDEX 211
311
        B"10101010" when B"0011010100",  -- INDEX 212
312
        B"10001100" when B"0011010101",  -- INDEX 213
313
        B"10000000" when B"0011010110",  -- INDEX 214
314
        B"10000111" when B"0011010111",  -- INDEX 215
315
        B"10100000" when B"0011011000",  -- INDEX 216
316
        B"11000111" when B"0011011001",  -- INDEX 217
317
        B"11110111" when B"0011011010",  -- INDEX 218
318
        B"00101000" when B"0011011011",  -- INDEX 219
319
        B"01010011" when B"0011011100",  -- INDEX 220
320
        B"01110010" when B"0011011101",  -- INDEX 221
321
        B"01111111" when B"0011011110",  -- INDEX 222
322
        B"01111010" when B"0011011111",  -- INDEX 223
323
        B"01100011" when B"0011100000",  -- INDEX 224
324
        B"00111100" when B"0011100001",  -- INDEX 225
325
        B"00001101" when B"0011100010",  -- INDEX 226
326
        B"11011100" when B"0011100011",  -- INDEX 227
327
        B"10110000" when B"0011100100",  -- INDEX 228
328
        B"10010000" when B"0011100101",  -- INDEX 229
329
        B"10000001" when B"0011100110",  -- INDEX 230
330
        B"10000101" when B"0011100111",  -- INDEX 231
331
        B"10011011" when B"0011101000",  -- INDEX 232
332
        B"11000000" when B"0011101001",  -- INDEX 233
333
        B"11101111" when B"0011101010",  -- INDEX 234
334
        B"00100000" when B"0011101011",  -- INDEX 235
335
        B"01001101" when B"0011101100",  -- INDEX 236
336
        B"01101110" when B"0011101101",  -- INDEX 237
337
        B"01111111" when B"0011101110",  -- INDEX 238
338
        B"01111100" when B"0011101111",  -- INDEX 239
339
        B"01101000" when B"0011110000",  -- INDEX 240
340
        B"01000011" when B"0011110001",  -- INDEX 241
341
        B"00010101" when B"0011110010",  -- INDEX 242
342
        B"11100100" when B"0011110011",  -- INDEX 243
343
        B"10110110" when B"0011110100",  -- INDEX 244
344
        B"10010100" when B"0011110101",  -- INDEX 245
345
        B"10000010" when B"0011110110",  -- INDEX 246
346
        B"10000011" when B"0011110111",  -- INDEX 247
347
        B"10010110" when B"0011111000",  -- INDEX 248
348
        B"10111001" when B"0011111001",  -- INDEX 249
349
        B"11100111" when B"0011111010",  -- INDEX 250
350
        B"00011000" when B"0011111011",  -- INDEX 251
351
        B"01000110" when B"0011111100",  -- INDEX 252
352
        B"01101010" when B"0011111101",  -- INDEX 253
353
        B"01111101" when B"0011111110",  -- INDEX 254
354
        B"01111110" when B"0011111111",  -- INDEX 255
355
        B"01101100" when B"0100000000",  -- INDEX 256
356
        B"01001010" when B"0100000001",  -- INDEX 257
357
        B"00011101" when B"0100000010",  -- INDEX 258
358
        B"11101011" when B"0100000011",  -- INDEX 259
359
        B"10111101" when B"0100000100",  -- INDEX 260
360
        B"10011001" when B"0100000101",  -- INDEX 261
361
        B"10000100" when B"0100000110",  -- INDEX 262
362
        B"10000001" when B"0100000111",  -- INDEX 263
363
        B"10010010" when B"0100001000",  -- INDEX 264
364
        B"10110011" when B"0100001001",  -- INDEX 265
365
        B"11011111" when B"0100001010",  -- INDEX 266
366
        B"00010001" when B"0100001011",  -- INDEX 267
367
        B"00111111" when B"0100001100",  -- INDEX 268
368
        B"01100101" when B"0100001101",  -- INDEX 269
369
        B"01111011" when B"0100001110",  -- INDEX 270
370
        B"01111111" when B"0100001111",  -- INDEX 271
371
        B"01110000" when B"0100010000",  -- INDEX 272
372
        B"01010000" when B"0100010001",  -- INDEX 273
373
        B"00100101" when B"0100010010",  -- INDEX 274
374
        B"11110011" when B"0100010011",  -- INDEX 275
375
        B"11000100" when B"0100010100",  -- INDEX 276
376
        B"10011110" when B"0100010101",  -- INDEX 277
377
        B"10000110" when B"0100010110",  -- INDEX 278
378
        B"10000000" when B"0100010111",  -- INDEX 279
379
        B"10001110" when B"0100011000",  -- INDEX 280
380
        B"10101100" when B"0100011001",  -- INDEX 281
381
        B"11010111" when B"0100011010",  -- INDEX 282
382
        B"00001001" when B"0100011011",  -- INDEX 283
383
        B"00111000" when B"0100011100",  -- INDEX 284
384
        B"01100000" when B"0100011101",  -- INDEX 285
385
        B"01111001" when B"0100011110",  -- INDEX 286
386
        B"01111111" when B"0100011111",  -- INDEX 287
387
        B"01110100" when B"0100100000",  -- INDEX 288
388
        B"01010111" when B"0100100001",  -- INDEX 289
389
        B"00101100" when B"0100100010",  -- INDEX 290
390
        B"11111011" when B"0100100011",  -- INDEX 291
391
        B"11001011" when B"0100100100",  -- INDEX 292
392
        B"10100011" when B"0100100101",  -- INDEX 293
393
        B"10001001" when B"0100100110",  -- INDEX 294
394
        B"10000000" when B"0100100111",  -- INDEX 295
395
        B"10001011" when B"0100101000",  -- INDEX 296
396
        B"10100111" when B"0100101001",  -- INDEX 297
397
        B"11010000" when B"0100101010",  -- INDEX 298
398
        B"00000001" when B"0100101011",  -- INDEX 299
399
        B"00110001" when B"0100101100",  -- INDEX 300
400
        B"01011010" when B"0100101101",  -- INDEX 301
401
        B"01110110" when B"0100101110",  -- INDEX 302
402
        B"01111111" when B"0100101111",  -- INDEX 303
403
        B"01110111" when B"0100110000",  -- INDEX 304
404
        B"01011100" when B"0100110001",  -- INDEX 305
405
        B"00110100" when B"0100110010",  -- INDEX 306
406
        B"00000100" when B"0100110011",  -- INDEX 307
407
        B"11010011" when B"0100110100",  -- INDEX 308
408
        B"10101001" when B"0100110101",  -- INDEX 309
409
        B"10001100" when B"0100110110",  -- INDEX 310
410
        B"10000000" when B"0100110111",  -- INDEX 311
411
        B"10001000" when B"0100111000",  -- INDEX 312
412
        B"10100001" when B"0100111001",  -- INDEX 313
413
        B"11001001" when B"0100111010",  -- INDEX 314
414
        B"11111000" when B"0100111011",  -- INDEX 315
415
        B"00101001" when B"0100111100",  -- INDEX 316
416
        B"01010100" when B"0100111101",  -- INDEX 317
417
        B"01110011" when B"0100111110",  -- INDEX 318
418
        B"01111111" when B"0100111111",  -- INDEX 319
419
        B"01111010" when B"0101000000",  -- INDEX 320
420
        B"01100010" when B"0101000001",  -- INDEX 321
421
        B"00111011" when B"0101000010",  -- INDEX 322
422
        B"00001100" when B"0101000011",  -- INDEX 323
423
        B"11011010" when B"0101000100",  -- INDEX 324
424
        B"10101111" when B"0101000101",  -- INDEX 325
425
        B"10001111" when B"0101000110",  -- INDEX 326
426
        B"10000001" when B"0101000111",  -- INDEX 327
427
        B"10000101" when B"0101001000",  -- INDEX 328
428
        B"10011100" when B"0101001001",  -- INDEX 329
429
        B"11000001" when B"0101001010",  -- INDEX 330
430
        B"11110000" when B"0101001011",  -- INDEX 331
431
        B"00100010" when B"0101001100",  -- INDEX 332
432
        B"01001110" when B"0101001101",  -- INDEX 333
433
        B"01101111" when B"0101001110",  -- INDEX 334
434
        B"01111111" when B"0101001111",  -- INDEX 335
435
        B"01111100" when B"0101010000",  -- INDEX 336
436
        B"01100111" when B"0101010001",  -- INDEX 337
437
        B"01000010" when B"0101010010",  -- INDEX 338
438
        B"00010100" when B"0101010011",  -- INDEX 339
439
        B"11100010" when B"0101010100",  -- INDEX 340
440
        B"10110101" when B"0101010101",  -- INDEX 341
441
        B"10010011" when B"0101010110",  -- INDEX 342
442
        B"10000010" when B"0101010111",  -- INDEX 343
443
        B"10000011" when B"0101011000",  -- INDEX 344
444
        B"10010111" when B"0101011001",  -- INDEX 345
445
        B"10111011" when B"0101011010",  -- INDEX 346
446
        B"11101001" when B"0101011011",  -- INDEX 347
447
        B"00011010" when B"0101011100",  -- INDEX 348
448
        B"01001000" when B"0101011101",  -- INDEX 349
449
        B"01101010" when B"0101011110",  -- INDEX 350
450
        B"01111101" when B"0101011111",  -- INDEX 351
451
        B"01111110" when B"0101100000",  -- INDEX 352
452
        B"01101011" when B"0101100001",  -- INDEX 353
453
        B"01001001" when B"0101100010",  -- INDEX 354
454
        B"00011011" when B"0101100011",  -- INDEX 355
455
        B"11101010" when B"0101100100",  -- INDEX 356
456
        B"10111100" when B"0101100101",  -- INDEX 357
457
        B"10011000" when B"0101100110",  -- INDEX 358
458
        B"10000011" when B"0101100111",  -- INDEX 359
459
        B"10000010" when B"0101101000",  -- INDEX 360
460
        B"10010011" when B"0101101001",  -- INDEX 361
461
        B"10110100" when B"0101101010",  -- INDEX 362
462
        B"11100001" when B"0101101011",  -- INDEX 363
463
        B"00010010" when B"0101101100",  -- INDEX 364
464
        B"01000001" when B"0101101101",  -- INDEX 365
465
        B"01100110" when B"0101101110",  -- INDEX 366
466
        B"01111100" when B"0101101111",  -- INDEX 367
467
        B"01111111" when B"0101110000",  -- INDEX 368
468
        B"01101111" when B"0101110001",  -- INDEX 369
469
        B"01001111" when B"0101110010",  -- INDEX 370
470
        B"00100011" when B"0101110011",  -- INDEX 371
471
        B"11110010" when B"0101110100",  -- INDEX 372
472
        B"11000011" when B"0101110101",  -- INDEX 373
473
        B"10011101" when B"0101110110",  -- INDEX 374
474
        B"10000110" when B"0101110111",  -- INDEX 375
475
        B"10000001" when B"0101111000",  -- INDEX 376
476
        B"10001111" when B"0101111001",  -- INDEX 377
477
        B"10101110" when B"0101111010",  -- INDEX 378
478
        B"11011001" when B"0101111011",  -- INDEX 379
479
        B"00001010" when B"0101111100",  -- INDEX 380
480
        B"00111010" when B"0101111101",  -- INDEX 381
481
        B"01100001" when B"0101111110",  -- INDEX 382
482
        B"01111001" when B"0101111111",  -- INDEX 383
483
        B"01111111" when B"0110000000",  -- INDEX 384
484
        B"01110011" when B"0110000001",  -- INDEX 385
485
        B"01010101" when B"0110000010",  -- INDEX 386
486
        B"00101011" when B"0110000011",  -- INDEX 387
487
        B"11111010" when B"0110000100",  -- INDEX 388
488
        B"11001010" when B"0110000101",  -- INDEX 389
489
        B"10100010" when B"0110000110",  -- INDEX 390
490
        B"10001000" when B"0110000111",  -- INDEX 391
491
        B"10000000" when B"0110001000",  -- INDEX 392
492
        B"10001011" when B"0110001001",  -- INDEX 393
493
        B"10101000" when B"0110001010",  -- INDEX 394
494
        B"11010001" when B"0110001011",  -- INDEX 395
495
        B"00000010" when B"0110001100",  -- INDEX 396
496
        B"00110010" when B"0110001101",  -- INDEX 397
497
        B"01011011" when B"0110001110",  -- INDEX 398
498
        B"01110110" when B"0110001111",  -- INDEX 399
499
        B"01111111" when B"0110010000",  -- INDEX 400
500
        B"01110110" when B"0110010001",  -- INDEX 401
501
        B"01011010" when B"0110010010",  -- INDEX 402
502
        B"00110000" when B"0110010011",  -- INDEX 403
503
        B"11111110" when B"0110010100",  -- INDEX 404
504
        B"11001101" when B"0110010101",  -- INDEX 405
505
        B"10100011" when B"0110010110",  -- INDEX 406
506
        B"10001000" when B"0110010111",  -- INDEX 407
507
        B"10000000" when B"0110011000",  -- INDEX 408
508
        B"10001100" when B"0110011001",  -- INDEX 409
509
        B"10101001" when B"0110011010",  -- INDEX 410
510
        B"11010100" when B"0110011011",  -- INDEX 411
511
        B"00000110" when B"0110011100",  -- INDEX 412
512
        B"00110111" when B"0110011101",  -- INDEX 413
513
        B"01011111" when B"0110011110",  -- INDEX 414
514
        B"01111001" when B"0110011111",  -- INDEX 415
515
        B"01111111" when B"0110100000",  -- INDEX 416
516
        B"01110011" when B"0110100001",  -- INDEX 417
517
        B"01010100" when B"0110100010",  -- INDEX 418
518
        B"00101000" when B"0110100011",  -- INDEX 419
519
        B"11110110" when B"0110100100",  -- INDEX 420
520
        B"11000101" when B"0110100101",  -- INDEX 421
521
        B"10011110" when B"0110100110",  -- INDEX 422
522
        B"10000110" when B"0110100111",  -- INDEX 423
523
        B"10000001" when B"0110101000",  -- INDEX 424
524
        B"10001111" when B"0110101001",  -- INDEX 425
525
        B"10101111" when B"0110101010",  -- INDEX 426
526
        B"11011100" when B"0110101011",  -- INDEX 427
527
        B"00001110" when B"0110101100",  -- INDEX 428
528
        B"00111110" when B"0110101101",  -- INDEX 429
529
        B"01100101" when B"0110101110",  -- INDEX 430
530
        B"01111011" when B"0110101111",  -- INDEX 431
531
        B"01111111" when B"0110110000",  -- INDEX 432
532
        B"01101111" when B"0110110001",  -- INDEX 433
533
        B"01001110" when B"0110110010",  -- INDEX 434
534
        B"00100000" when B"0110110011",  -- INDEX 435
535
        B"11101110" when B"0110110100",  -- INDEX 436
536
        B"10111110" when B"0110110101",  -- INDEX 437
537
        B"10011001" when B"0110110110",  -- INDEX 438
538
        B"10000100" when B"0110110111",  -- INDEX 439
539
        B"10000010" when B"0110111000",  -- INDEX 440
540
        B"10010011" when B"0110111001",  -- INDEX 441
541
        B"10110110" when B"0110111010",  -- INDEX 442
542
        B"11100100" when B"0110111011",  -- INDEX 443
543
        B"00010110" when B"0110111100",  -- INDEX 444
544
        B"01000101" when B"0110111101",  -- INDEX 445
545
        B"01101001" when B"0110111110",  -- INDEX 446
546
        B"01111101" when B"0110111111",  -- INDEX 447
547
        B"01111110" when B"0111000000",  -- INDEX 448
548
        B"01101011" when B"0111000001",  -- INDEX 449
549
        B"01000111" when B"0111000010",  -- INDEX 450
550
        B"00011000" when B"0111000011",  -- INDEX 451
551
        B"11100110" when B"0111000100",  -- INDEX 452
552
        B"10111000" when B"0111000101",  -- INDEX 453
553
        B"10010100" when B"0111000110",  -- INDEX 454
554
        B"10000010" when B"0111000111",  -- INDEX 455
555
        B"10000011" when B"0111001000",  -- INDEX 456
556
        B"10011000" when B"0111001001",  -- INDEX 457
557
        B"10111100" when B"0111001010",  -- INDEX 458
558
        B"11101011" when B"0111001011",  -- INDEX 459
559
        B"00011110" when B"0111001100",  -- INDEX 460
560
        B"01001100" when B"0111001101",  -- INDEX 461
561
        B"01101110" when B"0111001110",  -- INDEX 462
562
        B"01111111" when B"0111001111",  -- INDEX 463
563
        B"01111100" when B"0111010000",  -- INDEX 464
564
        B"01100110" when B"0111010001",  -- INDEX 465
565
        B"01000000" when B"0111010010",  -- INDEX 466
566
        B"00010001" when B"0111010011",  -- INDEX 467
567
        B"11011110" when B"0111010100",  -- INDEX 468
568
        B"10110001" when B"0111010101",  -- INDEX 469
569
        B"10010000" when B"0111010110",  -- INDEX 470
570
        B"10000001" when B"0111010111",  -- INDEX 471
571
        B"10000101" when B"0111011000",  -- INDEX 472
572
        B"10011100" when B"0111011001",  -- INDEX 473
573
        B"11000011" when B"0111011010",  -- INDEX 474
574
        B"11110011" when B"0111011011",  -- INDEX 475
575
        B"00100110" when B"0111011100",  -- INDEX 476
576
        B"01010010" when B"0111011101",  -- INDEX 477
577
        B"01110010" when B"0111011110",  -- INDEX 478
578
        B"01111111" when B"0111011111",  -- INDEX 479
579
        B"01111010" when B"0111100000",  -- INDEX 480
580
        B"01100001" when B"0111100001",  -- INDEX 481
581
        B"00111001" when B"0111100010",  -- INDEX 482
582
        B"00001001" when B"0111100011",  -- INDEX 483
583
        B"11010111" when B"0111100100",  -- INDEX 484
584
        B"10101011" when B"0111100101",  -- INDEX 485
585
        B"10001101" when B"0111100110",  -- INDEX 486
586
        B"10000000" when B"0111100111",  -- INDEX 487
587
        B"10001000" when B"0111101000",  -- INDEX 488
588
        B"10100010" when B"0111101001",  -- INDEX 489
589
        B"11001010" when B"0111101010",  -- INDEX 490
590
        B"11111011" when B"0111101011",  -- INDEX 491
591
        B"00101101" when B"0111101100",  -- INDEX 492
592
        B"01011000" when B"0111101101",  -- INDEX 493
593
        B"01110101" when B"0111101110",  -- INDEX 494
594
        B"01111111" when B"0111101111",  -- INDEX 495
595
        B"01110111" when B"0111110000",  -- INDEX 496
596
        B"01011100" when B"0111110001",  -- INDEX 497
597
        B"00110010" when B"0111110010",  -- INDEX 498
598
        B"00000001" when B"0111110011",  -- INDEX 499
599
        B"11001111" when B"0111110100",  -- INDEX 500
600
        B"10100101" when B"0111110101",  -- INDEX 501
601
        B"10001001" when B"0111110110",  -- INDEX 502
602
        B"10000000" when B"0111110111",  -- INDEX 503
603
        B"10001011" when B"0111111000",  -- INDEX 504
604
        B"10100111" when B"0111111001",  -- INDEX 505
605
        B"11010010" when B"0111111010",  -- INDEX 506
606
        B"00000100" when B"0111111011",  -- INDEX 507
607
        B"00110101" when B"0111111100",  -- INDEX 508
608
        B"01011110" when B"0111111101",  -- INDEX 509
609
        B"01111000" when B"0111111110",  -- INDEX 510
610
        B"01111111" when B"0111111111",  -- INDEX 511
611
        B"01110100" when B"1000000000",  -- INDEX 512
612
        B"01010110" when B"1000000001",  -- INDEX 513
613
        B"00101010" when B"1000000010",  -- INDEX 514
614
        B"11111000" when B"1000000011",  -- INDEX 515
615
        B"11001000" when B"1000000100",  -- INDEX 516
616
        B"10100000" when B"1000000101",  -- INDEX 517
617
        B"10000111" when B"1000000110",  -- INDEX 518
618
        B"10000000" when B"1000000111",  -- INDEX 519
619
        B"10001110" when B"1000001000",  -- INDEX 520
620
        B"10101101" when B"1000001001",  -- INDEX 521
621
        B"11011001" when B"1000001010",  -- INDEX 522
622
        B"00001100" when B"1000001011",  -- INDEX 523
623
        B"00111100" when B"1000001100",  -- INDEX 524
624
        B"01100011" when B"1000001101",  -- INDEX 525
625
        B"01111011" when B"1000001110",  -- INDEX 526
626
        B"01111111" when B"1000001111",  -- INDEX 527
627
        B"01110000" when B"1000010000",  -- INDEX 528
628
        B"01010000" when B"1000010001",  -- INDEX 529
629
        B"00100011" when B"1000010010",  -- INDEX 530
630
        B"11110000" when B"1000010011",  -- INDEX 531
631
        B"11000001" when B"1000010100",  -- INDEX 532
632
        B"10011011" when B"1000010101",  -- INDEX 533
633
        B"10000100" when B"1000010110",  -- INDEX 534
634
        B"10000001" when B"1000010111",  -- INDEX 535
635
        B"10010010" when B"1000011000",  -- INDEX 536
636
        B"10110100" when B"1000011001",  -- INDEX 537
637
        B"11100001" when B"1000011010",  -- INDEX 538
638
        B"00010100" when B"1000011011",  -- INDEX 539
639
        B"01000011" when B"1000011100",  -- INDEX 540
640
        B"01101000" when B"1000011101",  -- INDEX 541
641
        B"01111101" when B"1000011110",  -- INDEX 542
642
        B"01111110" when B"1000011111",  -- INDEX 543
643
        B"01101100" when B"1000100000",  -- INDEX 544
644
        B"01001001" when B"1000100001",  -- INDEX 545
645
        B"00011011" when B"1000100010",  -- INDEX 546
646
        B"11101001" when B"1000100011",  -- INDEX 547
647
        B"10111010" when B"1000100100",  -- INDEX 548
648
        B"10010110" when B"1000100101",  -- INDEX 549
649
        B"10000010" when B"1000100110",  -- INDEX 550
650
        B"10000011" when B"1000100111",  -- INDEX 551
651
        B"10010110" when B"1000101000",  -- INDEX 552
652
        B"10111010" when B"1000101001",  -- INDEX 553
653
        B"11101001" when B"1000101010",  -- INDEX 554
654
        B"00011011" when B"1000101011",  -- INDEX 555
655
        B"01001010" when B"1000101100",  -- INDEX 556
656
        B"01101100" when B"1000101101",  -- INDEX 557
657
        B"01111110" when B"1000101110",  -- INDEX 558
658
        B"01111101" when B"1000101111",  -- INDEX 559
659
        B"01101000" when B"1000110000",  -- INDEX 560
660
        B"01000010" when B"1000110001",  -- INDEX 561
661
        B"00010011" when B"1000110010",  -- INDEX 562
662
        B"11100001" when B"1000110011",  -- INDEX 563
663
        B"10110011" when B"1000110100",  -- INDEX 564
664
        B"10010010" when B"1000110101",  -- INDEX 565
665
        B"10000001" when B"1000110110",  -- INDEX 566
666
        B"10000100" when B"1000110111",  -- INDEX 567
667
        B"10011011" when B"1000111000",  -- INDEX 568
668
        B"11000001" when B"1000111001",  -- INDEX 569
669
        B"11110001" when B"1000111010",  -- INDEX 570
670
        B"00100011" when B"1000111011",  -- INDEX 571
671
        B"01010000" when B"1000111100",  -- INDEX 572
672
        B"01110000" when B"1000111101",  -- INDEX 573
673
        B"01111111" when B"1000111110",  -- INDEX 574
674
        B"01111010" when B"1000111111",  -- INDEX 575
675
        B"01100011" when B"1001000000",  -- INDEX 576
676
        B"00111011" when B"1001000001",  -- INDEX 577
677
        B"00001011" when B"1001000010",  -- INDEX 578
678
        B"11011001" when B"1001000011",  -- INDEX 579
679
        B"10101101" when B"1001000100",  -- INDEX 580
680
        B"10001110" when B"1001000101",  -- INDEX 581
681
        B"10000000" when B"1001000110",  -- INDEX 582
682
        B"10000111" when B"1001000111",  -- INDEX 583
683
        B"10100000" when B"1001001000",  -- INDEX 584
684
        B"11001000" when B"1001001001",  -- INDEX 585
685
        B"11111001" when B"1001001010",  -- INDEX 586
686
        B"00101011" when B"1001001011",  -- INDEX 587
687
        B"01010110" when B"1001001100",  -- INDEX 588
688
        B"01110100" when B"1001001101",  -- INDEX 589
689
        B"01111111" when B"1001001110",  -- INDEX 590
690
        B"01111000" when B"1001001111",  -- INDEX 591
691
        B"01011101" when B"1001010000",  -- INDEX 592
692
        B"00110100" when B"1001010001",  -- INDEX 593
693
        B"00000011" when B"1001010010",  -- INDEX 594
694
        B"11010001" when B"1001010011",  -- INDEX 595
695
        B"10100111" when B"1001010100",  -- INDEX 596
696
        B"10001010" when B"1001010101",  -- INDEX 597
697
        B"10000000" when B"1001010110",  -- INDEX 598
698
        B"10001010" when B"1001010111",  -- INDEX 599
699
        B"10100101" when B"1001011000",  -- INDEX 600
700
        B"11001111" when B"1001011001",  -- INDEX 601
701
        B"11111111" when B"1001011010",  -- INDEX 602
702
        B"00110000" when B"1001011011",  -- INDEX 603
703
        B"01011001" when B"1001011100",  -- INDEX 604
704
        B"01110101" when B"1001011101",  -- INDEX 605
705
        B"01111111" when B"1001011110",  -- INDEX 606
706
        B"01111000" when B"1001011111",  -- INDEX 607
707
        B"01011101" when B"1001100000",  -- INDEX 608
708
        B"00110101" when B"1001100001",  -- INDEX 609
709
        B"00000101" when B"1001100010",  -- INDEX 610
710
        B"11010100" when B"1001100011",  -- INDEX 611
711
        B"10101010" when B"1001100100",  -- INDEX 612
712
        B"10001100" when B"1001100101",  -- INDEX 613
713
        B"10000000" when B"1001100110",  -- INDEX 614
714
        B"10000111" when B"1001100111",  -- INDEX 615
715
        B"10100000" when B"1001101000",  -- INDEX 616
716
        B"11000111" when B"1001101001",  -- INDEX 617
717
        B"11110111" when B"1001101010",  -- INDEX 618
718
        B"00101000" when B"1001101011",  -- INDEX 619
719
        B"01010011" when B"1001101100",  -- INDEX 620
720
        B"01110010" when B"1001101101",  -- INDEX 621
721
        B"01111111" when B"1001101110",  -- INDEX 622
722
        B"01111010" when B"1001101111",  -- INDEX 623
723
        B"01100011" when B"1001110000",  -- INDEX 624
724
        B"00111100" when B"1001110001",  -- INDEX 625
725
        B"00001101" when B"1001110010",  -- INDEX 626
726
        B"11011100" when B"1001110011",  -- INDEX 627
727
        B"10110000" when B"1001110100",  -- INDEX 628
728
        B"10010000" when B"1001110101",  -- INDEX 629
729
        B"10000001" when B"1001110110",  -- INDEX 630
730
        B"10000101" when B"1001110111",  -- INDEX 631
731
        B"10011011" when B"1001111000",  -- INDEX 632
732
        B"11000000" when B"1001111001",  -- INDEX 633
733
        B"11101111" when B"1001111010",  -- INDEX 634
734
        B"00100000" when B"1001111011",  -- INDEX 635
735
        B"01001101" when B"1001111100",  -- INDEX 636
736
        B"01101110" when B"1001111101",  -- INDEX 637
737
        B"01111111" when B"1001111110",  -- INDEX 638
738
        B"01111100" when B"1001111111",  -- INDEX 639
739
        B"01101000" when B"1010000000",  -- INDEX 640
740
        B"01000011" when B"1010000001",  -- INDEX 641
741
        B"00010101" when B"1010000010",  -- INDEX 642
742
        B"11100100" when B"1010000011",  -- INDEX 643
743
        B"10110110" when B"1010000100",  -- INDEX 644
744
        B"10010100" when B"1010000101",  -- INDEX 645
745
        B"10000010" when B"1010000110",  -- INDEX 646
746
        B"10000011" when B"1010000111",  -- INDEX 647
747
        B"10010110" when B"1010001000",  -- INDEX 648
748
        B"10111001" when B"1010001001",  -- INDEX 649
749
        B"11100111" when B"1010001010",  -- INDEX 650
750
        B"00011000" when B"1010001011",  -- INDEX 651
751
        B"01000110" when B"1010001100",  -- INDEX 652
752
        B"01101010" when B"1010001101",  -- INDEX 653
753
        B"01111101" when B"1010001110",  -- INDEX 654
754
        B"01111110" when B"1010001111",  -- INDEX 655
755
        B"01101100" when B"1010010000",  -- INDEX 656
756
        B"01001010" when B"1010010001",  -- INDEX 657
757
        B"00011101" when B"1010010010",  -- INDEX 658
758
        B"11101011" when B"1010010011",  -- INDEX 659
759
        B"10111101" when B"1010010100",  -- INDEX 660
760
        B"10011001" when B"1010010101",  -- INDEX 661
761
        B"10000100" when B"1010010110",  -- INDEX 662
762
        B"10000001" when B"1010010111",  -- INDEX 663
763
        B"10010010" when B"1010011000",  -- INDEX 664
764
        B"10110011" when B"1010011001",  -- INDEX 665
765
        B"11011111" when B"1010011010",  -- INDEX 666
766
        B"00010001" when B"1010011011",  -- INDEX 667
767
        B"00111111" when B"1010011100",  -- INDEX 668
768
        B"01100101" when B"1010011101",  -- INDEX 669
769
        B"01111011" when B"1010011110",  -- INDEX 670
770
        B"01111111" when B"1010011111",  -- INDEX 671
771
        B"01110000" when B"1010100000",  -- INDEX 672
772
        B"01010000" when B"1010100001",  -- INDEX 673
773
        B"00100101" when B"1010100010",  -- INDEX 674
774
        B"11110011" when B"1010100011",  -- INDEX 675
775
        B"11000100" when B"1010100100",  -- INDEX 676
776
        B"10011110" when B"1010100101",  -- INDEX 677
777
        B"10000110" when B"1010100110",  -- INDEX 678
778
        B"10000000" when B"1010100111",  -- INDEX 679
779
        B"10001110" when B"1010101000",  -- INDEX 680
780
        B"10101100" when B"1010101001",  -- INDEX 681
781
        B"11010111" when B"1010101010",  -- INDEX 682
782
        B"00001001" when B"1010101011",  -- INDEX 683
783
        B"00111000" when B"1010101100",  -- INDEX 684
784
        B"01100000" when B"1010101101",  -- INDEX 685
785
        B"01111001" when B"1010101110",  -- INDEX 686
786
        B"01111111" when B"1010101111",  -- INDEX 687
787
        B"01110100" when B"1010110000",  -- INDEX 688
788
        B"01010111" when B"1010110001",  -- INDEX 689
789
        B"00101100" when B"1010110010",  -- INDEX 690
790
        B"11111011" when B"1010110011",  -- INDEX 691
791
        B"11001011" when B"1010110100",  -- INDEX 692
792
        B"10100011" when B"1010110101",  -- INDEX 693
793
        B"10001001" when B"1010110110",  -- INDEX 694
794
        B"10000000" when B"1010110111",  -- INDEX 695
795
        B"10001011" when B"1010111000",  -- INDEX 696
796
        B"10100111" when B"1010111001",  -- INDEX 697
797
        B"11010000" when B"1010111010",  -- INDEX 698
798
        B"00000001" when B"1010111011",  -- INDEX 699
799
        B"00110001" when B"1010111100",  -- INDEX 700
800
        B"01011010" when B"1010111101",  -- INDEX 701
801
        B"01110110" when B"1010111110",  -- INDEX 702
802
        B"01111111" when B"1010111111",  -- INDEX 703
803
        B"01110111" when B"1011000000",  -- INDEX 704
804
        B"01011100" when B"1011000001",  -- INDEX 705
805
        B"00110100" when B"1011000010",  -- INDEX 706
806
        B"00000100" when B"1011000011",  -- INDEX 707
807
        B"11010011" when B"1011000100",  -- INDEX 708
808
        B"10101001" when B"1011000101",  -- INDEX 709
809
        B"10001100" when B"1011000110",  -- INDEX 710
810
        B"10000000" when B"1011000111",  -- INDEX 711
811
        B"10001000" when B"1011001000",  -- INDEX 712
812
        B"10100001" when B"1011001001",  -- INDEX 713
813
        B"11001001" when B"1011001010",  -- INDEX 714
814
        B"11111000" when B"1011001011",  -- INDEX 715
815
        B"00101001" when B"1011001100",  -- INDEX 716
816
        B"01010100" when B"1011001101",  -- INDEX 717
817
        B"01110011" when B"1011001110",  -- INDEX 718
818
        B"01111111" when B"1011001111",  -- INDEX 719
819
        B"01111010" when B"1011010000",  -- INDEX 720
820
        B"01100010" when B"1011010001",  -- INDEX 721
821
        B"00111011" when B"1011010010",  -- INDEX 722
822
        B"00001100" when B"1011010011",  -- INDEX 723
823
        B"11011010" when B"1011010100",  -- INDEX 724
824
        B"10101111" when B"1011010101",  -- INDEX 725
825
        B"10001111" when B"1011010110",  -- INDEX 726
826
        B"10000001" when B"1011010111",  -- INDEX 727
827
        B"10000101" when B"1011011000",  -- INDEX 728
828
        B"10011100" when B"1011011001",  -- INDEX 729
829
        B"11000001" when B"1011011010",  -- INDEX 730
830
        B"11110000" when B"1011011011",  -- INDEX 731
831
        B"00100010" when B"1011011100",  -- INDEX 732
832
        B"01001110" when B"1011011101",  -- INDEX 733
833
        B"01101111" when B"1011011110",  -- INDEX 734
834
        B"01111111" when B"1011011111",  -- INDEX 735
835
        B"01111100" when B"1011100000",  -- INDEX 736
836
        B"01100111" when B"1011100001",  -- INDEX 737
837
        B"01000010" when B"1011100010",  -- INDEX 738
838
        B"00010100" when B"1011100011",  -- INDEX 739
839
        B"11100010" when B"1011100100",  -- INDEX 740
840
        B"10110101" when B"1011100101",  -- INDEX 741
841
        B"10010011" when B"1011100110",  -- INDEX 742
842
        B"10000010" when B"1011100111",  -- INDEX 743
843
        B"10000011" when B"1011101000",  -- INDEX 744
844
        B"10010111" when B"1011101001",  -- INDEX 745
845
        B"10111011" when B"1011101010",  -- INDEX 746
846
        B"11101001" when B"1011101011",  -- INDEX 747
847
        B"00011010" when B"1011101100",  -- INDEX 748
848
        B"01001000" when B"1011101101",  -- INDEX 749
849
        B"01101010" when B"1011101110",  -- INDEX 750
850
        B"01111101" when B"1011101111",  -- INDEX 751
851
        B"01111110" when B"1011110000",  -- INDEX 752
852
        B"01101011" when B"1011110001",  -- INDEX 753
853
        B"01001001" when B"1011110010",  -- INDEX 754
854
        B"00011011" when B"1011110011",  -- INDEX 755
855
        B"11101010" when B"1011110100",  -- INDEX 756
856
        B"10111100" when B"1011110101",  -- INDEX 757
857
        B"10011000" when B"1011110110",  -- INDEX 758
858
        B"10000011" when B"1011110111",  -- INDEX 759
859
        B"10000010" when B"1011111000",  -- INDEX 760
860
        B"10010011" when B"1011111001",  -- INDEX 761
861
        B"10110100" when B"1011111010",  -- INDEX 762
862
        B"11100001" when B"1011111011",  -- INDEX 763
863
        B"00010010" when B"1011111100",  -- INDEX 764
864
        B"01000001" when B"1011111101",  -- INDEX 765
865
        B"01100110" when B"1011111110",  -- INDEX 766
866
        B"01111100" when B"1011111111",  -- INDEX 767
867
        B"01111111" when B"1100000000",  -- INDEX 768
868
        B"01101111" when B"1100000001",  -- INDEX 769
869
        B"01001111" when B"1100000010",  -- INDEX 770
870
        B"00100011" when B"1100000011",  -- INDEX 771
871
        B"11110010" when B"1100000100",  -- INDEX 772
872
        B"11000011" when B"1100000101",  -- INDEX 773
873
        B"10011101" when B"1100000110",  -- INDEX 774
874
        B"10000110" when B"1100000111",  -- INDEX 775
875
        B"10000001" when B"1100001000",  -- INDEX 776
876
        B"10001111" when B"1100001001",  -- INDEX 777
877
        B"10101110" when B"1100001010",  -- INDEX 778
878
        B"11011001" when B"1100001011",  -- INDEX 779
879
        B"00001010" when B"1100001100",  -- INDEX 780
880
        B"00111010" when B"1100001101",  -- INDEX 781
881
        B"01100001" when B"1100001110",  -- INDEX 782
882
        B"01111001" when B"1100001111",  -- INDEX 783
883
        B"01111111" when B"1100010000",  -- INDEX 784
884
        B"01110011" when B"1100010001",  -- INDEX 785
885
        B"01010101" when B"1100010010",  -- INDEX 786
886
        B"00101011" when B"1100010011",  -- INDEX 787
887
        B"11111010" when B"1100010100",  -- INDEX 788
888
        B"11001010" when B"1100010101",  -- INDEX 789
889
        B"10100010" when B"1100010110",  -- INDEX 790
890
        B"10001000" when B"1100010111",  -- INDEX 791
891
        B"10000000" when B"1100011000",  -- INDEX 792
892
        B"10001011" when B"1100011001",  -- INDEX 793
893
        B"10101000" when B"1100011010",  -- INDEX 794
894
        B"11010001" when B"1100011011",  -- INDEX 795
895
        B"00000010" when B"1100011100",  -- INDEX 796
896
        B"00110010" when B"1100011101",  -- INDEX 797
897
        B"01011011" when B"1100011110",  -- INDEX 798
898
        B"01110110" when B"1100011111",  -- INDEX 799
899
        B"01111111" when B"1100100000",  -- INDEX 800
900
        B"01110110" when B"1100100001",  -- INDEX 801
901
        B"01011010" when B"1100100010",  -- INDEX 802
902
        B"00110000" when B"1100100011",  -- INDEX 803
903
        B"11111110" when B"1100100100",  -- INDEX 804
904
        B"11001101" when B"1100100101",  -- INDEX 805
905
        B"10100011" when B"1100100110",  -- INDEX 806
906
        B"10001000" when B"1100100111",  -- INDEX 807
907
        B"10000000" when B"1100101000",  -- INDEX 808
908
        B"10001100" when B"1100101001",  -- INDEX 809
909
        B"10101001" when B"1100101010",  -- INDEX 810
910
        B"11010100" when B"1100101011",  -- INDEX 811
911
        B"00000110" when B"1100101100",  -- INDEX 812
912
        B"00110111" when B"1100101101",  -- INDEX 813
913
        B"01011111" when B"1100101110",  -- INDEX 814
914
        B"01111001" when B"1100101111",  -- INDEX 815
915
        B"01111111" when B"1100110000",  -- INDEX 816
916
        B"01110011" when B"1100110001",  -- INDEX 817
917
        B"01010100" when B"1100110010",  -- INDEX 818
918
        B"00101000" when B"1100110011",  -- INDEX 819
919
        B"11110110" when B"1100110100",  -- INDEX 820
920
        B"11000101" when B"1100110101",  -- INDEX 821
921
        B"10011110" when B"1100110110",  -- INDEX 822
922
        B"10000110" when B"1100110111",  -- INDEX 823
923
        B"10000001" when B"1100111000",  -- INDEX 824
924
        B"10001111" when B"1100111001",  -- INDEX 825
925
        B"10101111" when B"1100111010",  -- INDEX 826
926
        B"11011100" when B"1100111011",  -- INDEX 827
927
        B"00001110" when B"1100111100",  -- INDEX 828
928
        B"00111110" when B"1100111101",  -- INDEX 829
929
        B"01100101" when B"1100111110",  -- INDEX 830
930
        B"01111011" when B"1100111111",  -- INDEX 831
931
        B"01111111" when B"1101000000",  -- INDEX 832
932
        B"01101111" when B"1101000001",  -- INDEX 833
933
        B"01001110" when B"1101000010",  -- INDEX 834
934
        B"00100000" when B"1101000011",  -- INDEX 835
935
        B"11101110" when B"1101000100",  -- INDEX 836
936
        B"10111110" when B"1101000101",  -- INDEX 837
937
        B"10011001" when B"1101000110",  -- INDEX 838
938
        B"10000100" when B"1101000111",  -- INDEX 839
939
        B"10000010" when B"1101001000",  -- INDEX 840
940
        B"10010011" when B"1101001001",  -- INDEX 841
941
        B"10110110" when B"1101001010",  -- INDEX 842
942
        B"11100100" when B"1101001011",  -- INDEX 843
943
        B"00010110" when B"1101001100",  -- INDEX 844
944
        B"01000101" when B"1101001101",  -- INDEX 845
945
        B"01101001" when B"1101001110",  -- INDEX 846
946
        B"01111101" when B"1101001111",  -- INDEX 847
947
        B"01111110" when B"1101010000",  -- INDEX 848
948
        B"01101011" when B"1101010001",  -- INDEX 849
949
        B"01000111" when B"1101010010",  -- INDEX 850
950
        B"00011000" when B"1101010011",  -- INDEX 851
951
        B"11100110" when B"1101010100",  -- INDEX 852
952
        B"10111000" when B"1101010101",  -- INDEX 853
953
        B"10010100" when B"1101010110",  -- INDEX 854
954
        B"10000010" when B"1101010111",  -- INDEX 855
955
        B"10000011" when B"1101011000",  -- INDEX 856
956
        B"10011000" when B"1101011001",  -- INDEX 857
957
        B"10111100" when B"1101011010",  -- INDEX 858
958
        B"11101011" when B"1101011011",  -- INDEX 859
959
        B"00011110" when B"1101011100",  -- INDEX 860
960
        B"01001100" when B"1101011101",  -- INDEX 861
961
        B"01101110" when B"1101011110",  -- INDEX 862
962
        B"01111111" when B"1101011111",  -- INDEX 863
963
        B"01111100" when B"1101100000",  -- INDEX 864
964
        B"01100110" when B"1101100001",  -- INDEX 865
965
        B"01000000" when B"1101100010",  -- INDEX 866
966
        B"00010001" when B"1101100011",  -- INDEX 867
967
        B"11011110" when B"1101100100",  -- INDEX 868
968
        B"10110001" when B"1101100101",  -- INDEX 869
969
        B"10010000" when B"1101100110",  -- INDEX 870
970
        B"10000001" when B"1101100111",  -- INDEX 871
971
        B"10000101" when B"1101101000",  -- INDEX 872
972
        B"10011100" when B"1101101001",  -- INDEX 873
973
        B"11000011" when B"1101101010",  -- INDEX 874
974
        B"11110011" when B"1101101011",  -- INDEX 875
975
        B"00100110" when B"1101101100",  -- INDEX 876
976
        B"01010010" when B"1101101101",  -- INDEX 877
977
        B"01110010" when B"1101101110",  -- INDEX 878
978
        B"01111111" when B"1101101111",  -- INDEX 879
979
        B"01111010" when B"1101110000",  -- INDEX 880
980
        B"01100001" when B"1101110001",  -- INDEX 881
981
        B"00111001" when B"1101110010",  -- INDEX 882
982
        B"00001001" when B"1101110011",  -- INDEX 883
983
        B"11010111" when B"1101110100",  -- INDEX 884
984
        B"10101011" when B"1101110101",  -- INDEX 885
985
        B"10001101" when B"1101110110",  -- INDEX 886
986
        B"10000000" when B"1101110111",  -- INDEX 887
987
        B"10001000" when B"1101111000",  -- INDEX 888
988
        B"10100010" when B"1101111001",  -- INDEX 889
989
        B"11001010" when B"1101111010",  -- INDEX 890
990
        B"11111011" when B"1101111011",  -- INDEX 891
991
        B"00101101" when B"1101111100",  -- INDEX 892
992
        B"01011000" when B"1101111101",  -- INDEX 893
993
        B"01110101" when B"1101111110",  -- INDEX 894
994
        B"01111111" when B"1101111111",  -- INDEX 895
995
        B"01110111" when B"1110000000",  -- INDEX 896
996
        B"01011100" when B"1110000001",  -- INDEX 897
997
        B"00110010" when B"1110000010",  -- INDEX 898
998
        B"00000001" when B"1110000011",  -- INDEX 899
999
        B"11001111" when B"1110000100",  -- INDEX 900
1000
        B"10100101" when B"1110000101",  -- INDEX 901
1001
        B"10001001" when B"1110000110",  -- INDEX 902
1002
        B"10000000" when B"1110000111",  -- INDEX 903
1003
        B"10001011" when B"1110001000",  -- INDEX 904
1004
        B"10100111" when B"1110001001",  -- INDEX 905
1005
        B"11010010" when B"1110001010",  -- INDEX 906
1006
        B"00000100" when B"1110001011",  -- INDEX 907
1007
        B"00110101" when B"1110001100",  -- INDEX 908
1008
        B"01011110" when B"1110001101",  -- INDEX 909
1009
        B"01111000" when B"1110001110",  -- INDEX 910
1010
        B"01111111" when B"1110001111",  -- INDEX 911
1011
        B"01110100" when B"1110010000",  -- INDEX 912
1012
        B"01010110" when B"1110010001",  -- INDEX 913
1013
        B"00101010" when B"1110010010",  -- INDEX 914
1014
        B"11111000" when B"1110010011",  -- INDEX 915
1015
        B"11001000" when B"1110010100",  -- INDEX 916
1016
        B"10100000" when B"1110010101",  -- INDEX 917
1017
        B"10000111" when B"1110010110",  -- INDEX 918
1018
        B"10000000" when B"1110010111",  -- INDEX 919
1019
        B"10001110" when B"1110011000",  -- INDEX 920
1020
        B"10101101" when B"1110011001",  -- INDEX 921
1021
        B"11011001" when B"1110011010",  -- INDEX 922
1022
        B"00001100" when B"1110011011",  -- INDEX 923
1023
        B"00111100" when B"1110011100",  -- INDEX 924
1024
        B"01100011" when B"1110011101",  -- INDEX 925
1025
        B"01111011" when B"1110011110",  -- INDEX 926
1026
        B"01111111" when B"1110011111",  -- INDEX 927
1027
        B"01110000" when B"1110100000",  -- INDEX 928
1028
        B"01010000" when B"1110100001",  -- INDEX 929
1029
        B"00100011" when B"1110100010",  -- INDEX 930
1030
        B"11110000" when B"1110100011",  -- INDEX 931
1031
        B"11000001" when B"1110100100",  -- INDEX 932
1032
        B"10011011" when B"1110100101",  -- INDEX 933
1033
        B"10000100" when B"1110100110",  -- INDEX 934
1034
        B"10000001" when B"1110100111",  -- INDEX 935
1035
        B"10010010" when B"1110101000",  -- INDEX 936
1036
        B"10110100" when B"1110101001",  -- INDEX 937
1037
        B"11100001" when B"1110101010",  -- INDEX 938
1038
        B"00010100" when B"1110101011",  -- INDEX 939
1039
        B"01000011" when B"1110101100",  -- INDEX 940
1040
        B"01101000" when B"1110101101",  -- INDEX 941
1041
        B"01111101" when B"1110101110",  -- INDEX 942
1042
        B"01111110" when B"1110101111",  -- INDEX 943
1043
        B"01101100" when B"1110110000",  -- INDEX 944
1044
        B"01001001" when B"1110110001",  -- INDEX 945
1045
        B"00011011" when B"1110110010",  -- INDEX 946
1046
        B"11101001" when B"1110110011",  -- INDEX 947
1047
        B"10111010" when B"1110110100",  -- INDEX 948
1048
        B"10010110" when B"1110110101",  -- INDEX 949
1049
        B"10000010" when B"1110110110",  -- INDEX 950
1050
        B"10000011" when B"1110110111",  -- INDEX 951
1051
        B"10010110" when B"1110111000",  -- INDEX 952
1052
        B"10111010" when B"1110111001",  -- INDEX 953
1053
        B"11101001" when B"1110111010",  -- INDEX 954
1054
        B"00011011" when B"1110111011",  -- INDEX 955
1055
        B"01001010" when B"1110111100",  -- INDEX 956
1056
        B"01101100" when B"1110111101",  -- INDEX 957
1057
        B"01111110" when B"1110111110",  -- INDEX 958
1058
        B"01111101" when B"1110111111",  -- INDEX 959
1059
        B"01101000" when B"1111000000",  -- INDEX 960
1060
        B"01000010" when B"1111000001",  -- INDEX 961
1061
        B"00010011" when B"1111000010",  -- INDEX 962
1062
        B"11100001" when B"1111000011",  -- INDEX 963
1063
        B"10110011" when B"1111000100",  -- INDEX 964
1064
        B"10010010" when B"1111000101",  -- INDEX 965
1065
        B"10000001" when B"1111000110",  -- INDEX 966
1066
        B"10000100" when B"1111000111",  -- INDEX 967
1067
        B"10011011" when B"1111001000",  -- INDEX 968
1068
        B"11000001" when B"1111001001",  -- INDEX 969
1069
        B"11110001" when B"1111001010",  -- INDEX 970
1070
        B"00100011" when B"1111001011",  -- INDEX 971
1071
        B"01010000" when B"1111001100",  -- INDEX 972
1072
        B"01110000" when B"1111001101",  -- INDEX 973
1073
        B"01111111" when B"1111001110",  -- INDEX 974
1074
        B"01111010" when B"1111001111",  -- INDEX 975
1075
        B"01100011" when B"1111010000",  -- INDEX 976
1076
        B"00111011" when B"1111010001",  -- INDEX 977
1077
        B"00001011" when B"1111010010",  -- INDEX 978
1078
        B"11011001" when B"1111010011",  -- INDEX 979
1079
        B"10101101" when B"1111010100",  -- INDEX 980
1080
        B"10001110" when B"1111010101",  -- INDEX 981
1081
        B"10000000" when B"1111010110",  -- INDEX 982
1082
        B"10000111" when B"1111010111",  -- INDEX 983
1083
        B"10100000" when B"1111011000",  -- INDEX 984
1084
        B"11001000" when B"1111011001",  -- INDEX 985
1085
        B"11111001" when B"1111011010",  -- INDEX 986
1086
        B"00101011" when B"1111011011",  -- INDEX 987
1087
        B"01010110" when B"1111011100",  -- INDEX 988
1088
        B"01110100" when B"1111011101",  -- INDEX 989
1089
        B"01111111" when B"1111011110",  -- INDEX 990
1090
        B"01111000" when B"1111011111",  -- INDEX 991
1091
        B"01011101" when B"1111100000",  -- INDEX 992
1092
        B"00110100" when B"1111100001",  -- INDEX 993
1093
        B"00000011" when B"1111100010",  -- INDEX 994
1094
        B"11010001" when B"1111100011",  -- INDEX 995
1095
        B"10100111" when B"1111100100",  -- INDEX 996
1096
        B"10001010" when B"1111100101",  -- INDEX 997
1097
        B"10000000" when B"1111100110",  -- INDEX 998
1098
        B"10001010" when B"1111100111",  -- INDEX 999
1099
 
1100
-- END INPUT FM SIGNAL
1101
        B"00000000" when others;
1102
 
1103
        with counter (09 downto 0) select
1104
        test_signal_fmTri_int <=
1105
-- START INPUT FM-TRI SIGNAL
1106
 
1107
        B"01111111" when B"0000000000",  -- INDEX 0
1108
        B"01110110" when B"0000000001",  -- INDEX 1
1109
        B"01011011" when B"0000000010",  -- INDEX 2
1110
        B"00110010" when B"0000000011",  -- INDEX 3
1111
        B"00000010" when B"0000000100",  -- INDEX 4
1112
        B"11010001" when B"0000000101",  -- INDEX 5
1113
        B"10101000" when B"0000000110",  -- INDEX 6
1114
        B"10001011" when B"0000000111",  -- INDEX 7
1115
        B"10000000" when B"0000001000",  -- INDEX 8
1116
        B"10001000" when B"0000001001",  -- INDEX 9
1117
        B"10100010" when B"0000001010",  -- INDEX 10
1118
        B"11001010" when B"0000001011",  -- INDEX 11
1119
        B"11111010" when B"0000001100",  -- INDEX 12
1120
        B"00101011" when B"0000001101",  -- INDEX 13
1121
        B"01010110" when B"0000001110",  -- INDEX 14
1122
        B"01110011" when B"0000001111",  -- INDEX 15
1123
        B"01111111" when B"0000010000",  -- INDEX 16
1124
        B"01111001" when B"0000010001",  -- INDEX 17
1125
        B"01100000" when B"0000010010",  -- INDEX 18
1126
        B"00111001" when B"0000010011",  -- INDEX 19
1127
        B"00001001" when B"0000010100",  -- INDEX 20
1128
        B"11011000" when B"0000010101",  -- INDEX 21
1129
        B"10101101" when B"0000010110",  -- INDEX 22
1130
        B"10001110" when B"0000010111",  -- INDEX 23
1131
        B"10000000" when B"0000011000",  -- INDEX 24
1132
        B"10000110" when B"0000011001",  -- INDEX 25
1133
        B"10011110" when B"0000011010",  -- INDEX 26
1134
        B"11000100" when B"0000011011",  -- INDEX 27
1135
        B"11110100" when B"0000011100",  -- INDEX 28
1136
        B"00100101" when B"0000011101",  -- INDEX 29
1137
        B"01010001" when B"0000011110",  -- INDEX 30
1138
        B"01110001" when B"0000011111",  -- INDEX 31
1139
        B"01111111" when B"0000100000",  -- INDEX 32
1140
        B"01111011" when B"0000100001",  -- INDEX 33
1141
        B"01100100" when B"0000100010",  -- INDEX 34
1142
        B"00111110" when B"0000100011",  -- INDEX 35
1143
        B"00001111" when B"0000100100",  -- INDEX 36
1144
        B"11011101" when B"0000100101",  -- INDEX 37
1145
        B"10110001" when B"0000100110",  -- INDEX 38
1146
        B"10010001" when B"0000100111",  -- INDEX 39
1147
        B"10000001" when B"0000101000",  -- INDEX 40
1148
        B"10000100" when B"0000101001",  -- INDEX 41
1149
        B"10011010" when B"0000101010",  -- INDEX 42
1150
        B"11000000" when B"0000101011",  -- INDEX 43
1151
        B"11101111" when B"0000101100",  -- INDEX 44
1152
        B"00100000" when B"0000101101",  -- INDEX 45
1153
        B"01001101" when B"0000101110",  -- INDEX 46
1154
        B"01101110" when B"0000101111",  -- INDEX 47
1155
        B"01111111" when B"0000110000",  -- INDEX 48
1156
        B"01111100" when B"0000110001",  -- INDEX 49
1157
        B"01100111" when B"0000110010",  -- INDEX 50
1158
        B"01000010" when B"0000110011",  -- INDEX 51
1159
        B"00010011" when B"0000110100",  -- INDEX 52
1160
        B"11100010" when B"0000110101",  -- INDEX 53
1161
        B"10110101" when B"0000110110",  -- INDEX 54
1162
        B"10010011" when B"0000110111",  -- INDEX 55
1163
        B"10000010" when B"0000111000",  -- INDEX 56
1164
        B"10000011" when B"0000111001",  -- INDEX 57
1165
        B"10011000" when B"0000111010",  -- INDEX 58
1166
        B"10111100" when B"0000111011",  -- INDEX 59
1167
        B"11101011" when B"0000111100",  -- INDEX 60
1168
        B"00011101" when B"0000111101",  -- INDEX 61
1169
        B"01001010" when B"0000111110",  -- INDEX 62
1170
        B"01101100" when B"0000111111",  -- INDEX 63
1171
        B"01111110" when B"0001000000",  -- INDEX 64
1172
        B"01111101" when B"0001000001",  -- INDEX 65
1173
        B"01101001" when B"0001000010",  -- INDEX 66
1174
        B"01000101" when B"0001000011",  -- INDEX 67
1175
        B"00010111" when B"0001000100",  -- INDEX 68
1176
        B"11100101" when B"0001000101",  -- INDEX 69
1177
        B"10110111" when B"0001000110",  -- INDEX 70
1178
        B"10010101" when B"0001000111",  -- INDEX 71
1179
        B"10000010" when B"0001001000",  -- INDEX 72
1180
        B"10000011" when B"0001001001",  -- INDEX 73
1181
        B"10010110" when B"0001001010",  -- INDEX 74
1182
        B"10111010" when B"0001001011",  -- INDEX 75
1183
        B"11101000" when B"0001001100",  -- INDEX 76
1184
        B"00011010" when B"0001001101",  -- INDEX 77
1185
        B"01001000" when B"0001001110",  -- INDEX 78
1186
        B"01101011" when B"0001001111",  -- INDEX 79
1187
        B"01111110" when B"0001010000",  -- INDEX 80
1188
        B"01111101" when B"0001010001",  -- INDEX 81
1189
        B"01101010" when B"0001010010",  -- INDEX 82
1190
        B"01000111" when B"0001010011",  -- INDEX 83
1191
        B"00011001" when B"0001010100",  -- INDEX 84
1192
        B"11100111" when B"0001010101",  -- INDEX 85
1193
        B"10111001" when B"0001010110",  -- INDEX 86
1194
        B"10010101" when B"0001010111",  -- INDEX 87
1195
        B"10000010" when B"0001011000",  -- INDEX 88
1196
        B"10000010" when B"0001011001",  -- INDEX 89
1197
        B"10010110" when B"0001011010",  -- INDEX 90
1198
        B"10111001" when B"0001011011",  -- INDEX 91
1199
        B"11100111" when B"0001011100",  -- INDEX 92
1200
        B"00011001" when B"0001011101",  -- INDEX 93
1201
        B"01000111" when B"0001011110",  -- INDEX 94
1202
        B"01101010" when B"0001011111",  -- INDEX 95
1203
        B"01111110" when B"0001100000",  -- INDEX 96
1204
        B"01111110" when B"0001100001",  -- INDEX 97
1205
        B"01101011" when B"0001100010",  -- INDEX 98
1206
        B"01000111" when B"0001100011",  -- INDEX 99
1207
        B"00011001" when B"0001100100",  -- INDEX 100
1208
        B"11100111" when B"0001100101",  -- INDEX 101
1209
        B"10111001" when B"0001100110",  -- INDEX 102
1210
        B"10010110" when B"0001100111",  -- INDEX 103
1211
        B"10000011" when B"0001101000",  -- INDEX 104
1212
        B"10000010" when B"0001101001",  -- INDEX 105
1213
        B"10010101" when B"0001101010",  -- INDEX 106
1214
        B"10111001" when B"0001101011",  -- INDEX 107
1215
        B"11100111" when B"0001101100",  -- INDEX 108
1216
        B"00011001" when B"0001101101",  -- INDEX 109
1217
        B"01000111" when B"0001101110",  -- INDEX 110
1218
        B"01101010" when B"0001101111",  -- INDEX 111
1219
        B"01111110" when B"0001110000",  -- INDEX 112
1220
        B"01111110" when B"0001110001",  -- INDEX 113
1221
        B"01101010" when B"0001110010",  -- INDEX 114
1222
        B"01000111" when B"0001110011",  -- INDEX 115
1223
        B"00011001" when B"0001110100",  -- INDEX 116
1224
        B"11100111" when B"0001110101",  -- INDEX 117
1225
        B"10111000" when B"0001110110",  -- INDEX 118
1226
        B"10010101" when B"0001110111",  -- INDEX 119
1227
        B"10000010" when B"0001111000",  -- INDEX 120
1228
        B"10000011" when B"0001111001",  -- INDEX 121
1229
        B"10010110" when B"0001111010",  -- INDEX 122
1230
        B"10111010" when B"0001111011",  -- INDEX 123
1231
        B"11101000" when B"0001111100",  -- INDEX 124
1232
        B"00011010" when B"0001111101",  -- INDEX 125
1233
        B"01001000" when B"0001111110",  -- INDEX 126
1234
        B"01101011" when B"0001111111",  -- INDEX 127
1235
        B"01111110" when B"0010000000",  -- INDEX 128
1236
        B"01111101" when B"0010000001",  -- INDEX 129
1237
        B"01101001" when B"0010000010",  -- INDEX 130
1238
        B"01000101" when B"0010000011",  -- INDEX 131
1239
        B"00010111" when B"0010000100",  -- INDEX 132
1240
        B"11100101" when B"0010000101",  -- INDEX 133
1241
        B"10110111" when B"0010000110",  -- INDEX 134
1242
        B"10010100" when B"0010000111",  -- INDEX 135
1243
        B"10000010" when B"0010001000",  -- INDEX 136
1244
        B"10000011" when B"0010001001",  -- INDEX 137
1245
        B"10010111" when B"0010001010",  -- INDEX 138
1246
        B"10111100" when B"0010001011",  -- INDEX 139
1247
        B"11101011" when B"0010001100",  -- INDEX 140
1248
        B"00011101" when B"0010001101",  -- INDEX 141
1249
        B"01001010" when B"0010001110",  -- INDEX 142
1250
        B"01101101" when B"0010001111",  -- INDEX 143
1251
        B"01111110" when B"0010010000",  -- INDEX 144
1252
        B"01111101" when B"0010010001",  -- INDEX 145
1253
        B"01101000" when B"0010010010",  -- INDEX 146
1254
        B"01000011" when B"0010010011",  -- INDEX 147
1255
        B"00010100" when B"0010010100",  -- INDEX 148
1256
        B"11100010" when B"0010010101",  -- INDEX 149
1257
        B"10110100" when B"0010010110",  -- INDEX 150
1258
        B"10010010" when B"0010010111",  -- INDEX 151
1259
        B"10000001" when B"0010011000",  -- INDEX 152
1260
        B"10000100" when B"0010011001",  -- INDEX 153
1261
        B"10011010" when B"0010011010",  -- INDEX 154
1262
        B"10111111" when B"0010011011",  -- INDEX 155
1263
        B"11101110" when B"0010011100",  -- INDEX 156
1264
        B"00100001" when B"0010011101",  -- INDEX 157
1265
        B"01001110" when B"0010011110",  -- INDEX 158
1266
        B"01101111" when B"0010011111",  -- INDEX 159
1267
        B"01111111" when B"0010100000",  -- INDEX 160
1268
        B"01111011" when B"0010100001",  -- INDEX 161
1269
        B"01100101" when B"0010100010",  -- INDEX 162
1270
        B"00111111" when B"0010100011",  -- INDEX 163
1271
        B"00001111" when B"0010100100",  -- INDEX 164
1272
        B"11011101" when B"0010100101",  -- INDEX 165
1273
        B"10110000" when B"0010100110",  -- INDEX 166
1274
        B"10010000" when B"0010100111",  -- INDEX 167
1275
        B"10000001" when B"0010101000",  -- INDEX 168
1276
        B"10000101" when B"0010101001",  -- INDEX 169
1277
        B"10011101" when B"0010101010",  -- INDEX 170
1278
        B"11000011" when B"0010101011",  -- INDEX 171
1279
        B"11110011" when B"0010101100",  -- INDEX 172
1280
        B"00100110" when B"0010101101",  -- INDEX 173
1281
        B"01010010" when B"0010101110",  -- INDEX 174
1282
        B"01110001" when B"0010101111",  -- INDEX 175
1283
        B"01111111" when B"0010110000",  -- INDEX 176
1284
        B"01111010" when B"0010110001",  -- INDEX 177
1285
        B"01100001" when B"0010110010",  -- INDEX 178
1286
        B"00111010" when B"0010110011",  -- INDEX 179
1287
        B"00001001" when B"0010110100",  -- INDEX 180
1288
        B"11011000" when B"0010110101",  -- INDEX 181
1289
        B"10101100" when B"0010110110",  -- INDEX 182
1290
        B"10001101" when B"0010110111",  -- INDEX 183
1291
        B"10000000" when B"0010111000",  -- INDEX 184
1292
        B"10000111" when B"0010111001",  -- INDEX 185
1293
        B"10100001" when B"0010111010",  -- INDEX 186
1294
        B"11001001" when B"0010111011",  -- INDEX 187
1295
        B"11111010" when B"0010111100",  -- INDEX 188
1296
        B"00101100" when B"0010111101",  -- INDEX 189
1297
        B"01010111" when B"0010111110",  -- INDEX 190
1298
        B"01110100" when B"0010111111",  -- INDEX 191
1299
        B"01111111" when B"0011000000",  -- INDEX 192
1300
        B"01111000" when B"0011000001",  -- INDEX 193
1301
        B"01011101" when B"0011000010",  -- INDEX 194
1302
        B"00110100" when B"0011000011",  -- INDEX 195
1303
        B"00000010" when B"0011000100",  -- INDEX 196
1304
        B"11010001" when B"0011000101",  -- INDEX 197
1305
        B"10100111" when B"0011000110",  -- INDEX 198
1306
        B"10001010" when B"0011000111",  -- INDEX 199
1307
        B"10000000" when B"0011001000",  -- INDEX 200
1308
        B"10001010" when B"0011001001",  -- INDEX 201
1309
        B"10100110" when B"0011001010",  -- INDEX 202
1310
        B"11010000" when B"0011001011",  -- INDEX 203
1311
        B"00000001" when B"0011001100",  -- INDEX 204
1312
        B"00110011" when B"0011001101",  -- INDEX 205
1313
        B"01011100" when B"0011001110",  -- INDEX 206
1314
        B"01110111" when B"0011001111",  -- INDEX 207
1315
        B"01111111" when B"0011010000",  -- INDEX 208
1316
        B"01110101" when B"0011010001",  -- INDEX 209
1317
        B"01010111" when B"0011010010",  -- INDEX 210
1318
        B"00101101" when B"0011010011",  -- INDEX 211
1319
        B"11111011" when B"0011010100",  -- INDEX 212
1320
        B"11001010" when B"0011010101",  -- INDEX 213
1321
        B"10100001" when B"0011010110",  -- INDEX 214
1322
        B"10000111" when B"0011010111",  -- INDEX 215
1323
        B"10000000" when B"0011011000",  -- INDEX 216
1324
        B"10001101" when B"0011011001",  -- INDEX 217
1325
        B"10101011" when B"0011011010",  -- INDEX 218
1326
        B"11010111" when B"0011011011",  -- INDEX 219
1327
        B"00001001" when B"0011011100",  -- INDEX 220
1328
        B"00111001" when B"0011011101",  -- INDEX 221
1329
        B"01100001" when B"0011011110",  -- INDEX 222
1330
        B"01111010" when B"0011011111",  -- INDEX 223
1331
        B"01111111" when B"0011100000",  -- INDEX 224
1332
        B"01110010" when B"0011100001",  -- INDEX 225
1333
        B"01010010" when B"0011100010",  -- INDEX 226
1334
        B"00100110" when B"0011100011",  -- INDEX 227
1335
        B"11110100" when B"0011100100",  -- INDEX 228
1336
        B"11000100" when B"0011100101",  -- INDEX 229
1337
        B"10011101" when B"0011100110",  -- INDEX 230
1338
        B"10000101" when B"0011100111",  -- INDEX 231
1339
        B"10000001" when B"0011101000",  -- INDEX 232
1340
        B"10010000" when B"0011101001",  -- INDEX 233
1341
        B"10110000" when B"0011101010",  -- INDEX 234
1342
        B"11011100" when B"0011101011",  -- INDEX 235
1343
        B"00001110" when B"0011101100",  -- INDEX 236
1344
        B"00111110" when B"0011101101",  -- INDEX 237
1345
        B"01100101" when B"0011101110",  -- INDEX 238
1346
        B"01111011" when B"0011101111",  -- INDEX 239
1347
        B"01111111" when B"0011110000",  -- INDEX 240
1348
        B"01101111" when B"0011110001",  -- INDEX 241
1349
        B"01001110" when B"0011110010",  -- INDEX 242
1350
        B"00100001" when B"0011110011",  -- INDEX 243
1351
        B"11101111" when B"0011110100",  -- INDEX 244
1352
        B"11000000" when B"0011110101",  -- INDEX 245
1353
        B"10011010" when B"0011110110",  -- INDEX 246
1354
        B"10000100" when B"0011110111",  -- INDEX 247
1355
        B"10000001" when B"0011111000",  -- INDEX 248
1356
        B"10010010" when B"0011111001",  -- INDEX 249
1357
        B"10110011" when B"0011111010",  -- INDEX 250
1358
        B"11100001" when B"0011111011",  -- INDEX 251
1359
        B"00010011" when B"0011111100",  -- INDEX 252
1360
        B"01000010" when B"0011111101",  -- INDEX 253
1361
        B"01100111" when B"0011111110",  -- INDEX 254
1362
        B"01111100" when B"0011111111",  -- INDEX 255
1363
        B"01111110" when B"0100000000",  -- INDEX 256
1364
        B"01101101" when B"0100000001",  -- INDEX 257
1365
        B"01001011" when B"0100000010",  -- INDEX 258
1366
        B"00011101" when B"0100000011",  -- INDEX 259
1367
        B"11101011" when B"0100000100",  -- INDEX 260
1368
        B"10111100" when B"0100000101",  -- INDEX 261
1369
        B"10011000" when B"0100000110",  -- INDEX 262
1370
        B"10000011" when B"0100000111",  -- INDEX 263
1371
        B"10000010" when B"0100001000",  -- INDEX 264
1372
        B"10010100" when B"0100001001",  -- INDEX 265
1373
        B"10110110" when B"0100001010",  -- INDEX 266
1374
        B"11100100" when B"0100001011",  -- INDEX 267
1375
        B"00010110" when B"0100001100",  -- INDEX 268
1376
        B"01000101" when B"0100001101",  -- INDEX 269
1377
        B"01101001" when B"0100001110",  -- INDEX 270
1378
        B"01111101" when B"0100001111",  -- INDEX 271
1379
        B"01111110" when B"0100010000",  -- INDEX 272
1380
        B"01101100" when B"0100010001",  -- INDEX 273
1381
        B"01001001" when B"0100010010",  -- INDEX 274
1382
        B"00011011" when B"0100010011",  -- INDEX 275
1383
        B"11101001" when B"0100010100",  -- INDEX 276
1384
        B"10111010" when B"0100010101",  -- INDEX 277
1385
        B"10010110" when B"0100010110",  -- INDEX 278
1386
        B"10000011" when B"0100010111",  -- INDEX 279
1387
        B"10000010" when B"0100011000",  -- INDEX 280
1388
        B"10010101" when B"0100011001",  -- INDEX 281
1389
        B"10111000" when B"0100011010",  -- INDEX 282
1390
        B"11100110" when B"0100011011",  -- INDEX 283
1391
        B"00011000" when B"0100011100",  -- INDEX 284
1392
        B"01000110" when B"0100011101",  -- INDEX 285
1393
        B"01101010" when B"0100011110",  -- INDEX 286
1394
        B"01111101" when B"0100011111",  -- INDEX 287
1395
        B"01111110" when B"0100100000",  -- INDEX 288
1396
        B"01101011" when B"0100100001",  -- INDEX 289
1397
        B"01001000" when B"0100100010",  -- INDEX 290
1398
        B"00011001" when B"0100100011",  -- INDEX 291
1399
        B"11100111" when B"0100100100",  -- INDEX 292
1400
        B"10111001" when B"0100100101",  -- INDEX 293
1401
        B"10010110" when B"0100100110",  -- INDEX 294
1402
        B"10000011" when B"0100100111",  -- INDEX 295
1403
        B"10000010" when B"0100101000",  -- INDEX 296
1404
        B"10010101" when B"0100101001",  -- INDEX 297
1405
        B"10111001" when B"0100101010",  -- INDEX 298
1406
        B"11100111" when B"0100101011",  -- INDEX 299
1407
        B"00011001" when B"0100101100",  -- INDEX 300
1408
        B"01000111" when B"0100101101",  -- INDEX 301
1409
        B"01101010" when B"0100101110",  -- INDEX 302
1410
        B"01111101" when B"0100101111",  -- INDEX 303
1411
        B"01111110" when B"0100110000",  -- INDEX 304
1412
        B"01101011" when B"0100110001",  -- INDEX 305
1413
        B"01000111" when B"0100110010",  -- INDEX 306
1414
        B"00011001" when B"0100110011",  -- INDEX 307
1415
        B"11100111" when B"0100110100",  -- INDEX 308
1416
        B"10111001" when B"0100110101",  -- INDEX 309
1417
        B"10010110" when B"0100110110",  -- INDEX 310
1418
        B"10000011" when B"0100110111",  -- INDEX 311
1419
        B"10000010" when B"0100111000",  -- INDEX 312
1420
        B"10010101" when B"0100111001",  -- INDEX 313
1421
        B"10111000" when B"0100111010",  -- INDEX 314
1422
        B"11100110" when B"0100111011",  -- INDEX 315
1423
        B"00011000" when B"0100111100",  -- INDEX 316
1424
        B"01000110" when B"0100111101",  -- INDEX 317
1425
        B"01101010" when B"0100111110",  -- INDEX 318
1426
        B"01111101" when B"0100111111",  -- INDEX 319
1427
        B"01111110" when B"0101000000",  -- INDEX 320
1428
        B"01101011" when B"0101000001",  -- INDEX 321
1429
        B"01001000" when B"0101000010",  -- INDEX 322
1430
        B"00011010" when B"0101000011",  -- INDEX 323
1431
        B"11101001" when B"0101000100",  -- INDEX 324
1432
        B"10111010" when B"0101000101",  -- INDEX 325
1433
        B"10010111" when B"0101000110",  -- INDEX 326
1434
        B"10000011" when B"0101000111",  -- INDEX 327
1435
        B"10000010" when B"0101001000",  -- INDEX 328
1436
        B"10010100" when B"0101001001",  -- INDEX 329
1437
        B"10110111" when B"0101001010",  -- INDEX 330
1438
        B"11100100" when B"0101001011",  -- INDEX 331
1439
        B"00010110" when B"0101001100",  -- INDEX 332
1440
        B"01000101" when B"0101001101",  -- INDEX 333
1441
        B"01101001" when B"0101001110",  -- INDEX 334
1442
        B"01111101" when B"0101001111",  -- INDEX 335
1443
        B"01111110" when B"0101010000",  -- INDEX 336
1444
        B"01101100" when B"0101010001",  -- INDEX 337
1445
        B"01001010" when B"0101010010",  -- INDEX 338
1446
        B"00011101" when B"0101010011",  -- INDEX 339
1447
        B"11101011" when B"0101010100",  -- INDEX 340
1448
        B"10111101" when B"0101010101",  -- INDEX 341
1449
        B"10011000" when B"0101010110",  -- INDEX 342
1450
        B"10000011" when B"0101010111",  -- INDEX 343
1451
        B"10000010" when B"0101011000",  -- INDEX 344
1452
        B"10010011" when B"0101011001",  -- INDEX 345
1453
        B"10110100" when B"0101011010",  -- INDEX 346
1454
        B"11100001" when B"0101011011",  -- INDEX 347
1455
        B"00010011" when B"0101011100",  -- INDEX 348
1456
        B"01000010" when B"0101011101",  -- INDEX 349
1457
        B"01100111" when B"0101011110",  -- INDEX 350
1458
        B"01111100" when B"0101011111",  -- INDEX 351
1459
        B"01111111" when B"0101100000",  -- INDEX 352
1460
        B"01101110" when B"0101100001",  -- INDEX 353
1461
        B"01001101" when B"0101100010",  -- INDEX 354
1462
        B"00100000" when B"0101100011",  -- INDEX 355
1463
        B"11101111" when B"0101100100",  -- INDEX 356
1464
        B"11000000" when B"0101100101",  -- INDEX 357
1465
        B"10011011" when B"0101100110",  -- INDEX 358
1466
        B"10000100" when B"0101100111",  -- INDEX 359
1467
        B"10000001" when B"0101101000",  -- INDEX 360
1468
        B"10010001" when B"0101101001",  -- INDEX 361
1469
        B"10110001" when B"0101101010",  -- INDEX 362
1470
        B"11011101" when B"0101101011",  -- INDEX 363
1471
        B"00001111" when B"0101101100",  -- INDEX 364
1472
        B"00111110" when B"0101101101",  -- INDEX 365
1473
        B"01100100" when B"0101101110",  -- INDEX 366
1474
        B"01111011" when B"0101101111",  -- INDEX 367
1475
        B"01111111" when B"0101110000",  -- INDEX 368
1476
        B"01110001" when B"0101110001",  -- INDEX 369
1477
        B"01010001" when B"0101110010",  -- INDEX 370
1478
        B"00100101" when B"0101110011",  -- INDEX 371
1479
        B"11110100" when B"0101110100",  -- INDEX 372
1480
        B"11000100" when B"0101110101",  -- INDEX 373
1481
        B"10011110" when B"0101110110",  -- INDEX 374
1482
        B"10000110" when B"0101110111",  -- INDEX 375
1483
        B"10000000" when B"0101111000",  -- INDEX 376
1484
        B"10001110" when B"0101111001",  -- INDEX 377
1485
        B"10101101" when B"0101111010",  -- INDEX 378
1486
        B"11011000" when B"0101111011",  -- INDEX 379
1487
        B"00001001" when B"0101111100",  -- INDEX 380
1488
        B"00111001" when B"0101111101",  -- INDEX 381
1489
        B"01100000" when B"0101111110",  -- INDEX 382
1490
        B"01111001" when B"0101111111",  -- INDEX 383
1491
        B"01111111" when B"0110000000",  -- INDEX 384
1492
        B"01110011" when B"0110000001",  -- INDEX 385
1493
        B"01010110" when B"0110000010",  -- INDEX 386
1494
        B"00101011" when B"0110000011",  -- INDEX 387
1495
        B"11111010" when B"0110000100",  -- INDEX 388
1496
        B"11001010" when B"0110000101",  -- INDEX 389
1497
        B"10100010" when B"0110000110",  -- INDEX 390
1498
        B"10001000" when B"0110000111",  -- INDEX 391
1499
        B"10000000" when B"0110001000",  -- INDEX 392
1500
        B"10001011" when B"0110001001",  -- INDEX 393
1501
        B"10101000" when B"0110001010",  -- INDEX 394
1502
        B"11010001" when B"0110001011",  -- INDEX 395
1503
        B"00000010" when B"0110001100",  -- INDEX 396
1504
        B"00110010" when B"0110001101",  -- INDEX 397
1505
        B"01011011" when B"0110001110",  -- INDEX 398
1506
        B"01110110" when B"0110001111",  -- INDEX 399
1507
        B"01111111" when B"0110010000",  -- INDEX 400
1508
        B"01110110" when B"0110010001",  -- INDEX 401
1509
        B"01011011" when B"0110010010",  -- INDEX 402
1510
        B"00110010" when B"0110010011",  -- INDEX 403
1511
        B"00000010" when B"0110010100",  -- INDEX 404
1512
        B"11010001" when B"0110010101",  -- INDEX 405
1513
        B"10101000" when B"0110010110",  -- INDEX 406
1514
        B"10001011" when B"0110010111",  -- INDEX 407
1515
        B"10000000" when B"0110011000",  -- INDEX 408
1516
        B"10001000" when B"0110011001",  -- INDEX 409
1517
        B"10100010" when B"0110011010",  -- INDEX 410
1518
        B"11001010" when B"0110011011",  -- INDEX 411
1519
        B"11111010" when B"0110011100",  -- INDEX 412
1520
        B"00101011" when B"0110011101",  -- INDEX 413
1521
        B"01010110" when B"0110011110",  -- INDEX 414
1522
        B"01110011" when B"0110011111",  -- INDEX 415
1523
        B"01111111" when B"0110100000",  -- INDEX 416
1524
        B"01111001" when B"0110100001",  -- INDEX 417
1525
        B"01100000" when B"0110100010",  -- INDEX 418
1526
        B"00111001" when B"0110100011",  -- INDEX 419
1527
        B"00001001" when B"0110100100",  -- INDEX 420
1528
        B"11011000" when B"0110100101",  -- INDEX 421
1529
        B"10101101" when B"0110100110",  -- INDEX 422
1530
        B"10001110" when B"0110100111",  -- INDEX 423
1531
        B"10000000" when B"0110101000",  -- INDEX 424
1532
        B"10000110" when B"0110101001",  -- INDEX 425
1533
        B"10011110" when B"0110101010",  -- INDEX 426
1534
        B"11000100" when B"0110101011",  -- INDEX 427
1535
        B"11110100" when B"0110101100",  -- INDEX 428
1536
        B"00100101" when B"0110101101",  -- INDEX 429
1537
        B"01010001" when B"0110101110",  -- INDEX 430
1538
        B"01110001" when B"0110101111",  -- INDEX 431
1539
        B"01111111" when B"0110110000",  -- INDEX 432
1540
        B"01111011" when B"0110110001",  -- INDEX 433
1541
        B"01100100" when B"0110110010",  -- INDEX 434
1542
        B"00111110" when B"0110110011",  -- INDEX 435
1543
        B"00001111" when B"0110110100",  -- INDEX 436
1544
        B"11011101" when B"0110110101",  -- INDEX 437
1545
        B"10110001" when B"0110110110",  -- INDEX 438
1546
        B"10010001" when B"0110110111",  -- INDEX 439
1547
        B"10000001" when B"0110111000",  -- INDEX 440
1548
        B"10000100" when B"0110111001",  -- INDEX 441
1549
        B"10011010" when B"0110111010",  -- INDEX 442
1550
        B"11000000" when B"0110111011",  -- INDEX 443
1551
        B"11101111" when B"0110111100",  -- INDEX 444
1552
        B"00100000" when B"0110111101",  -- INDEX 445
1553
        B"01001101" when B"0110111110",  -- INDEX 446
1554
        B"01101110" when B"0110111111",  -- INDEX 447
1555
        B"01111111" when B"0111000000",  -- INDEX 448
1556
        B"01111100" when B"0111000001",  -- INDEX 449
1557
        B"01100111" when B"0111000010",  -- INDEX 450
1558
        B"01000010" when B"0111000011",  -- INDEX 451
1559
        B"00010011" when B"0111000100",  -- INDEX 452
1560
        B"11100010" when B"0111000101",  -- INDEX 453
1561
        B"10110101" when B"0111000110",  -- INDEX 454
1562
        B"10010011" when B"0111000111",  -- INDEX 455
1563
        B"10000010" when B"0111001000",  -- INDEX 456
1564
        B"10000011" when B"0111001001",  -- INDEX 457
1565
        B"10011000" when B"0111001010",  -- INDEX 458
1566
        B"10111100" when B"0111001011",  -- INDEX 459
1567
        B"11101011" when B"0111001100",  -- INDEX 460
1568
        B"00011101" when B"0111001101",  -- INDEX 461
1569
        B"01001010" when B"0111001110",  -- INDEX 462
1570
        B"01101100" when B"0111001111",  -- INDEX 463
1571
        B"01111110" when B"0111010000",  -- INDEX 464
1572
        B"01111101" when B"0111010001",  -- INDEX 465
1573
        B"01101001" when B"0111010010",  -- INDEX 466
1574
        B"01000101" when B"0111010011",  -- INDEX 467
1575
        B"00010111" when B"0111010100",  -- INDEX 468
1576
        B"11100101" when B"0111010101",  -- INDEX 469
1577
        B"10110111" when B"0111010110",  -- INDEX 470
1578
        B"10010101" when B"0111010111",  -- INDEX 471
1579
        B"10000010" when B"0111011000",  -- INDEX 472
1580
        B"10000011" when B"0111011001",  -- INDEX 473
1581
        B"10010110" when B"0111011010",  -- INDEX 474
1582
        B"10111010" when B"0111011011",  -- INDEX 475
1583
        B"11101000" when B"0111011100",  -- INDEX 476
1584
        B"00011010" when B"0111011101",  -- INDEX 477
1585
        B"01001000" when B"0111011110",  -- INDEX 478
1586
        B"01101011" when B"0111011111",  -- INDEX 479
1587
        B"01111110" when B"0111100000",  -- INDEX 480
1588
        B"01111101" when B"0111100001",  -- INDEX 481
1589
        B"01101010" when B"0111100010",  -- INDEX 482
1590
        B"01000111" when B"0111100011",  -- INDEX 483
1591
        B"00011001" when B"0111100100",  -- INDEX 484
1592
        B"11100111" when B"0111100101",  -- INDEX 485
1593
        B"10111001" when B"0111100110",  -- INDEX 486
1594
        B"10010101" when B"0111100111",  -- INDEX 487
1595
        B"10000010" when B"0111101000",  -- INDEX 488
1596
        B"10000010" when B"0111101001",  -- INDEX 489
1597
        B"10010110" when B"0111101010",  -- INDEX 490
1598
        B"10111001" when B"0111101011",  -- INDEX 491
1599
        B"11100111" when B"0111101100",  -- INDEX 492
1600
        B"00011001" when B"0111101101",  -- INDEX 493
1601
        B"01000111" when B"0111101110",  -- INDEX 494
1602
        B"01101010" when B"0111101111",  -- INDEX 495
1603
        B"01111110" when B"0111110000",  -- INDEX 496
1604
        B"01111110" when B"0111110001",  -- INDEX 497
1605
        B"01101011" when B"0111110010",  -- INDEX 498
1606
        B"01000111" when B"0111110011",  -- INDEX 499
1607
        B"00011001" when B"0111110100",  -- INDEX 500
1608
        B"11100111" when B"0111110101",  -- INDEX 501
1609
        B"10111001" when B"0111110110",  -- INDEX 502
1610
        B"10010110" when B"0111110111",  -- INDEX 503
1611
        B"10000011" when B"0111111000",  -- INDEX 504
1612
        B"10000010" when B"0111111001",  -- INDEX 505
1613
        B"10010101" when B"0111111010",  -- INDEX 506
1614
        B"10111001" when B"0111111011",  -- INDEX 507
1615
        B"11100111" when B"0111111100",  -- INDEX 508
1616
        B"00011001" when B"0111111101",  -- INDEX 509
1617
        B"01000111" when B"0111111110",  -- INDEX 510
1618
        B"01101010" when B"0111111111",  -- INDEX 511
1619
        B"01111110" when B"1000000000",  -- INDEX 512
1620
        B"01111110" when B"1000000001",  -- INDEX 513
1621
        B"01101010" when B"1000000010",  -- INDEX 514
1622
        B"01000111" when B"1000000011",  -- INDEX 515
1623
        B"00011001" when B"1000000100",  -- INDEX 516
1624
        B"11100111" when B"1000000101",  -- INDEX 517
1625
        B"10111000" when B"1000000110",  -- INDEX 518
1626
        B"10010101" when B"1000000111",  -- INDEX 519
1627
        B"10000010" when B"1000001000",  -- INDEX 520
1628
        B"10000011" when B"1000001001",  -- INDEX 521
1629
        B"10010110" when B"1000001010",  -- INDEX 522
1630
        B"10111010" when B"1000001011",  -- INDEX 523
1631
        B"11101000" when B"1000001100",  -- INDEX 524
1632
        B"00011010" when B"1000001101",  -- INDEX 525
1633
        B"01001000" when B"1000001110",  -- INDEX 526
1634
        B"01101011" when B"1000001111",  -- INDEX 527
1635
        B"01111110" when B"1000010000",  -- INDEX 528
1636
        B"01111101" when B"1000010001",  -- INDEX 529
1637
        B"01101001" when B"1000010010",  -- INDEX 530
1638
        B"01000101" when B"1000010011",  -- INDEX 531
1639
        B"00010111" when B"1000010100",  -- INDEX 532
1640
        B"11100101" when B"1000010101",  -- INDEX 533
1641
        B"10110111" when B"1000010110",  -- INDEX 534
1642
        B"10010100" when B"1000010111",  -- INDEX 535
1643
        B"10000010" when B"1000011000",  -- INDEX 536
1644
        B"10000011" when B"1000011001",  -- INDEX 537
1645
        B"10010111" when B"1000011010",  -- INDEX 538
1646
        B"10111100" when B"1000011011",  -- INDEX 539
1647
        B"11101011" when B"1000011100",  -- INDEX 540
1648
        B"00011101" when B"1000011101",  -- INDEX 541
1649
        B"01001010" when B"1000011110",  -- INDEX 542
1650
        B"01101101" when B"1000011111",  -- INDEX 543
1651
        B"01111110" when B"1000100000",  -- INDEX 544
1652
        B"01111101" when B"1000100001",  -- INDEX 545
1653
        B"01101000" when B"1000100010",  -- INDEX 546
1654
        B"01000011" when B"1000100011",  -- INDEX 547
1655
        B"00010100" when B"1000100100",  -- INDEX 548
1656
        B"11100010" when B"1000100101",  -- INDEX 549
1657
        B"10110100" when B"1000100110",  -- INDEX 550
1658
        B"10010010" when B"1000100111",  -- INDEX 551
1659
        B"10000001" when B"1000101000",  -- INDEX 552
1660
        B"10000100" when B"1000101001",  -- INDEX 553
1661
        B"10011010" when B"1000101010",  -- INDEX 554
1662
        B"10111111" when B"1000101011",  -- INDEX 555
1663
        B"11101110" when B"1000101100",  -- INDEX 556
1664
        B"00100001" when B"1000101101",  -- INDEX 557
1665
        B"01001110" when B"1000101110",  -- INDEX 558
1666
        B"01101111" when B"1000101111",  -- INDEX 559
1667
        B"01111111" when B"1000110000",  -- INDEX 560
1668
        B"01111011" when B"1000110001",  -- INDEX 561
1669
        B"01100101" when B"1000110010",  -- INDEX 562
1670
        B"00111111" when B"1000110011",  -- INDEX 563
1671
        B"00001111" when B"1000110100",  -- INDEX 564
1672
        B"11011101" when B"1000110101",  -- INDEX 565
1673
        B"10110000" when B"1000110110",  -- INDEX 566
1674
        B"10010000" when B"1000110111",  -- INDEX 567
1675
        B"10000001" when B"1000111000",  -- INDEX 568
1676
        B"10000101" when B"1000111001",  -- INDEX 569
1677
        B"10011101" when B"1000111010",  -- INDEX 570
1678
        B"11000011" when B"1000111011",  -- INDEX 571
1679
        B"11110011" when B"1000111100",  -- INDEX 572
1680
        B"00100110" when B"1000111101",  -- INDEX 573
1681
        B"01010010" when B"1000111110",  -- INDEX 574
1682
        B"01110001" when B"1000111111",  -- INDEX 575
1683
        B"01111111" when B"1001000000",  -- INDEX 576
1684
        B"01111010" when B"1001000001",  -- INDEX 577
1685
        B"01100001" when B"1001000010",  -- INDEX 578
1686
        B"00111010" when B"1001000011",  -- INDEX 579
1687
        B"00001001" when B"1001000100",  -- INDEX 580
1688
        B"11011000" when B"1001000101",  -- INDEX 581
1689
        B"10101100" when B"1001000110",  -- INDEX 582
1690
        B"10001101" when B"1001000111",  -- INDEX 583
1691
        B"10000000" when B"1001001000",  -- INDEX 584
1692
        B"10000111" when B"1001001001",  -- INDEX 585
1693
        B"10100001" when B"1001001010",  -- INDEX 586
1694
        B"11001001" when B"1001001011",  -- INDEX 587
1695
        B"11111010" when B"1001001100",  -- INDEX 588
1696
        B"00101100" when B"1001001101",  -- INDEX 589
1697
        B"01010111" when B"1001001110",  -- INDEX 590
1698
        B"01110100" when B"1001001111",  -- INDEX 591
1699
        B"01111111" when B"1001010000",  -- INDEX 592
1700
        B"01111000" when B"1001010001",  -- INDEX 593
1701
        B"01011101" when B"1001010010",  -- INDEX 594
1702
        B"00110100" when B"1001010011",  -- INDEX 595
1703
        B"00000010" when B"1001010100",  -- INDEX 596
1704
        B"11010001" when B"1001010101",  -- INDEX 597
1705
        B"10100111" when B"1001010110",  -- INDEX 598
1706
        B"10001010" when B"1001010111",  -- INDEX 599
1707
        B"10000000" when B"1001011000",  -- INDEX 600
1708
        B"10001010" when B"1001011001",  -- INDEX 601
1709
        B"10100110" when B"1001011010",  -- INDEX 602
1710
        B"11010000" when B"1001011011",  -- INDEX 603
1711
        B"00000001" when B"1001011100",  -- INDEX 604
1712
        B"00110011" when B"1001011101",  -- INDEX 605
1713
        B"01011100" when B"1001011110",  -- INDEX 606
1714
        B"01110111" when B"1001011111",  -- INDEX 607
1715
        B"01111111" when B"1001100000",  -- INDEX 608
1716
        B"01110101" when B"1001100001",  -- INDEX 609
1717
        B"01010111" when B"1001100010",  -- INDEX 610
1718
        B"00101101" when B"1001100011",  -- INDEX 611
1719
        B"11111011" when B"1001100100",  -- INDEX 612
1720
        B"11001010" when B"1001100101",  -- INDEX 613
1721
        B"10100001" when B"1001100110",  -- INDEX 614
1722
        B"10000111" when B"1001100111",  -- INDEX 615
1723
        B"10000000" when B"1001101000",  -- INDEX 616
1724
        B"10001101" when B"1001101001",  -- INDEX 617
1725
        B"10101011" when B"1001101010",  -- INDEX 618
1726
        B"11010111" when B"1001101011",  -- INDEX 619
1727
        B"00001001" when B"1001101100",  -- INDEX 620
1728
        B"00111001" when B"1001101101",  -- INDEX 621
1729
        B"01100001" when B"1001101110",  -- INDEX 622
1730
        B"01111010" when B"1001101111",  -- INDEX 623
1731
        B"01111111" when B"1001110000",  -- INDEX 624
1732
        B"01110010" when B"1001110001",  -- INDEX 625
1733
        B"01010010" when B"1001110010",  -- INDEX 626
1734
        B"00100110" when B"1001110011",  -- INDEX 627
1735
        B"11110100" when B"1001110100",  -- INDEX 628
1736
        B"11000100" when B"1001110101",  -- INDEX 629
1737
        B"10011101" when B"1001110110",  -- INDEX 630
1738
        B"10000101" when B"1001110111",  -- INDEX 631
1739
        B"10000001" when B"1001111000",  -- INDEX 632
1740
        B"10010000" when B"1001111001",  -- INDEX 633
1741
        B"10110000" when B"1001111010",  -- INDEX 634
1742
        B"11011100" when B"1001111011",  -- INDEX 635
1743
        B"00001110" when B"1001111100",  -- INDEX 636
1744
        B"00111110" when B"1001111101",  -- INDEX 637
1745
        B"01100101" when B"1001111110",  -- INDEX 638
1746
        B"01111011" when B"1001111111",  -- INDEX 639
1747
        B"01111111" when B"1010000000",  -- INDEX 640
1748
        B"01101111" when B"1010000001",  -- INDEX 641
1749
        B"01001110" when B"1010000010",  -- INDEX 642
1750
        B"00100001" when B"1010000011",  -- INDEX 643
1751
        B"11101111" when B"1010000100",  -- INDEX 644
1752
        B"11000000" when B"1010000101",  -- INDEX 645
1753
        B"10011010" when B"1010000110",  -- INDEX 646
1754
        B"10000100" when B"1010000111",  -- INDEX 647
1755
        B"10000001" when B"1010001000",  -- INDEX 648
1756
        B"10010010" when B"1010001001",  -- INDEX 649
1757
        B"10110011" when B"1010001010",  -- INDEX 650
1758
        B"11100001" when B"1010001011",  -- INDEX 651
1759
        B"00010011" when B"1010001100",  -- INDEX 652
1760
        B"01000010" when B"1010001101",  -- INDEX 653
1761
        B"01100111" when B"1010001110",  -- INDEX 654
1762
        B"01111100" when B"1010001111",  -- INDEX 655
1763
        B"01111110" when B"1010010000",  -- INDEX 656
1764
        B"01101101" when B"1010010001",  -- INDEX 657
1765
        B"01001011" when B"1010010010",  -- INDEX 658
1766
        B"00011101" when B"1010010011",  -- INDEX 659
1767
        B"11101011" when B"1010010100",  -- INDEX 660
1768
        B"10111100" when B"1010010101",  -- INDEX 661
1769
        B"10011000" when B"1010010110",  -- INDEX 662
1770
        B"10000011" when B"1010010111",  -- INDEX 663
1771
        B"10000010" when B"1010011000",  -- INDEX 664
1772
        B"10010100" when B"1010011001",  -- INDEX 665
1773
        B"10110110" when B"1010011010",  -- INDEX 666
1774
        B"11100100" when B"1010011011",  -- INDEX 667
1775
        B"00010110" when B"1010011100",  -- INDEX 668
1776
        B"01000101" when B"1010011101",  -- INDEX 669
1777
        B"01101001" when B"1010011110",  -- INDEX 670
1778
        B"01111101" when B"1010011111",  -- INDEX 671
1779
        B"01111110" when B"1010100000",  -- INDEX 672
1780
        B"01101100" when B"1010100001",  -- INDEX 673
1781
        B"01001001" when B"1010100010",  -- INDEX 674
1782
        B"00011011" when B"1010100011",  -- INDEX 675
1783
        B"11101001" when B"1010100100",  -- INDEX 676
1784
        B"10111010" when B"1010100101",  -- INDEX 677
1785
        B"10010110" when B"1010100110",  -- INDEX 678
1786
        B"10000011" when B"1010100111",  -- INDEX 679
1787
        B"10000010" when B"1010101000",  -- INDEX 680
1788
        B"10010101" when B"1010101001",  -- INDEX 681
1789
        B"10111000" when B"1010101010",  -- INDEX 682
1790
        B"11100110" when B"1010101011",  -- INDEX 683
1791
        B"00011000" when B"1010101100",  -- INDEX 684
1792
        B"01000110" when B"1010101101",  -- INDEX 685
1793
        B"01101010" when B"1010101110",  -- INDEX 686
1794
        B"01111101" when B"1010101111",  -- INDEX 687
1795
        B"01111110" when B"1010110000",  -- INDEX 688
1796
        B"01101011" when B"1010110001",  -- INDEX 689
1797
        B"01001000" when B"1010110010",  -- INDEX 690
1798
        B"00011001" when B"1010110011",  -- INDEX 691
1799
        B"11100111" when B"1010110100",  -- INDEX 692
1800
        B"10111001" when B"1010110101",  -- INDEX 693
1801
        B"10010110" when B"1010110110",  -- INDEX 694
1802
        B"10000011" when B"1010110111",  -- INDEX 695
1803
        B"10000010" when B"1010111000",  -- INDEX 696
1804
        B"10010101" when B"1010111001",  -- INDEX 697
1805
        B"10111001" when B"1010111010",  -- INDEX 698
1806
        B"11100111" when B"1010111011",  -- INDEX 699
1807
        B"00011001" when B"1010111100",  -- INDEX 700
1808
        B"01000111" when B"1010111101",  -- INDEX 701
1809
        B"01101010" when B"1010111110",  -- INDEX 702
1810
        B"01111101" when B"1010111111",  -- INDEX 703
1811
        B"01111110" when B"1011000000",  -- INDEX 704
1812
        B"01101011" when B"1011000001",  -- INDEX 705
1813
        B"01000111" when B"1011000010",  -- INDEX 706
1814
        B"00011001" when B"1011000011",  -- INDEX 707
1815
        B"11100111" when B"1011000100",  -- INDEX 708
1816
        B"10111001" when B"1011000101",  -- INDEX 709
1817
        B"10010110" when B"1011000110",  -- INDEX 710
1818
        B"10000011" when B"1011000111",  -- INDEX 711
1819
        B"10000010" when B"1011001000",  -- INDEX 712
1820
        B"10010101" when B"1011001001",  -- INDEX 713
1821
        B"10111000" when B"1011001010",  -- INDEX 714
1822
        B"11100110" when B"1011001011",  -- INDEX 715
1823
        B"00011000" when B"1011001100",  -- INDEX 716
1824
        B"01000110" when B"1011001101",  -- INDEX 717
1825
        B"01101010" when B"1011001110",  -- INDEX 718
1826
        B"01111101" when B"1011001111",  -- INDEX 719
1827
        B"01111110" when B"1011010000",  -- INDEX 720
1828
        B"01101011" when B"1011010001",  -- INDEX 721
1829
        B"01001000" when B"1011010010",  -- INDEX 722
1830
        B"00011010" when B"1011010011",  -- INDEX 723
1831
        B"11101001" when B"1011010100",  -- INDEX 724
1832
        B"10111010" when B"1011010101",  -- INDEX 725
1833
        B"10010111" when B"1011010110",  -- INDEX 726
1834
        B"10000011" when B"1011010111",  -- INDEX 727
1835
        B"10000010" when B"1011011000",  -- INDEX 728
1836
        B"10010100" when B"1011011001",  -- INDEX 729
1837
        B"10110111" when B"1011011010",  -- INDEX 730
1838
        B"11100100" when B"1011011011",  -- INDEX 731
1839
        B"00010110" when B"1011011100",  -- INDEX 732
1840
        B"01000101" when B"1011011101",  -- INDEX 733
1841
        B"01101001" when B"1011011110",  -- INDEX 734
1842
        B"01111101" when B"1011011111",  -- INDEX 735
1843
        B"01111110" when B"1011100000",  -- INDEX 736
1844
        B"01101100" when B"1011100001",  -- INDEX 737
1845
        B"01001010" when B"1011100010",  -- INDEX 738
1846
        B"00011101" when B"1011100011",  -- INDEX 739
1847
        B"11101011" when B"1011100100",  -- INDEX 740
1848
        B"10111101" when B"1011100101",  -- INDEX 741
1849
        B"10011000" when B"1011100110",  -- INDEX 742
1850
        B"10000011" when B"1011100111",  -- INDEX 743
1851
        B"10000010" when B"1011101000",  -- INDEX 744
1852
        B"10010011" when B"1011101001",  -- INDEX 745
1853
        B"10110100" when B"1011101010",  -- INDEX 746
1854
        B"11100001" when B"1011101011",  -- INDEX 747
1855
        B"00010011" when B"1011101100",  -- INDEX 748
1856
        B"01000010" when B"1011101101",  -- INDEX 749
1857
        B"01100111" when B"1011101110",  -- INDEX 750
1858
        B"01111100" when B"1011101111",  -- INDEX 751
1859
        B"01111111" when B"1011110000",  -- INDEX 752
1860
        B"01101110" when B"1011110001",  -- INDEX 753
1861
        B"01001101" when B"1011110010",  -- INDEX 754
1862
        B"00100000" when B"1011110011",  -- INDEX 755
1863
        B"11101111" when B"1011110100",  -- INDEX 756
1864
        B"11000000" when B"1011110101",  -- INDEX 757
1865
        B"10011011" when B"1011110110",  -- INDEX 758
1866
        B"10000100" when B"1011110111",  -- INDEX 759
1867
        B"10000001" when B"1011111000",  -- INDEX 760
1868
        B"10010001" when B"1011111001",  -- INDEX 761
1869
        B"10110001" when B"1011111010",  -- INDEX 762
1870
        B"11011101" when B"1011111011",  -- INDEX 763
1871
        B"00001111" when B"1011111100",  -- INDEX 764
1872
        B"00111110" when B"1011111101",  -- INDEX 765
1873
        B"01100100" when B"1011111110",  -- INDEX 766
1874
        B"01111011" when B"1011111111",  -- INDEX 767
1875
        B"01111111" when B"1100000000",  -- INDEX 768
1876
        B"01110001" when B"1100000001",  -- INDEX 769
1877
        B"01010001" when B"1100000010",  -- INDEX 770
1878
        B"00100101" when B"1100000011",  -- INDEX 771
1879
        B"11110100" when B"1100000100",  -- INDEX 772
1880
        B"11000100" when B"1100000101",  -- INDEX 773
1881
        B"10011110" when B"1100000110",  -- INDEX 774
1882
        B"10000110" when B"1100000111",  -- INDEX 775
1883
        B"10000000" when B"1100001000",  -- INDEX 776
1884
        B"10001110" when B"1100001001",  -- INDEX 777
1885
        B"10101101" when B"1100001010",  -- INDEX 778
1886
        B"11011000" when B"1100001011",  -- INDEX 779
1887
        B"00001001" when B"1100001100",  -- INDEX 780
1888
        B"00111001" when B"1100001101",  -- INDEX 781
1889
        B"01100000" when B"1100001110",  -- INDEX 782
1890
        B"01111001" when B"1100001111",  -- INDEX 783
1891
        B"01111111" when B"1100010000",  -- INDEX 784
1892
        B"01110011" when B"1100010001",  -- INDEX 785
1893
        B"01010110" when B"1100010010",  -- INDEX 786
1894
        B"00101011" when B"1100010011",  -- INDEX 787
1895
        B"11111010" when B"1100010100",  -- INDEX 788
1896
        B"11001010" when B"1100010101",  -- INDEX 789
1897
        B"10100010" when B"1100010110",  -- INDEX 790
1898
        B"10001000" when B"1100010111",  -- INDEX 791
1899
        B"10000000" when B"1100011000",  -- INDEX 792
1900
        B"10001011" when B"1100011001",  -- INDEX 793
1901
        B"10101000" when B"1100011010",  -- INDEX 794
1902
        B"11010001" when B"1100011011",  -- INDEX 795
1903
        B"00000010" when B"1100011100",  -- INDEX 796
1904
        B"00110010" when B"1100011101",  -- INDEX 797
1905
        B"01011011" when B"1100011110",  -- INDEX 798
1906
        B"01110110" when B"1100011111",  -- INDEX 799
1907
        B"01111111" when B"1100100000",  -- INDEX 800
1908
        B"01110110" when B"1100100001",  -- INDEX 801
1909
        B"01011011" when B"1100100010",  -- INDEX 802
1910
        B"00110010" when B"1100100011",  -- INDEX 803
1911
        B"00000010" when B"1100100100",  -- INDEX 804
1912
        B"11010001" when B"1100100101",  -- INDEX 805
1913
        B"10101000" when B"1100100110",  -- INDEX 806
1914
        B"10001011" when B"1100100111",  -- INDEX 807
1915
        B"10000000" when B"1100101000",  -- INDEX 808
1916
        B"10001000" when B"1100101001",  -- INDEX 809
1917
        B"10100010" when B"1100101010",  -- INDEX 810
1918
        B"11001010" when B"1100101011",  -- INDEX 811
1919
        B"11111010" when B"1100101100",  -- INDEX 812
1920
        B"00101011" when B"1100101101",  -- INDEX 813
1921
        B"01010110" when B"1100101110",  -- INDEX 814
1922
        B"01110011" when B"1100101111",  -- INDEX 815
1923
        B"01111111" when B"1100110000",  -- INDEX 816
1924
        B"01111001" when B"1100110001",  -- INDEX 817
1925
        B"01100000" when B"1100110010",  -- INDEX 818
1926
        B"00111001" when B"1100110011",  -- INDEX 819
1927
        B"00001001" when B"1100110100",  -- INDEX 820
1928
        B"11011000" when B"1100110101",  -- INDEX 821
1929
        B"10101101" when B"1100110110",  -- INDEX 822
1930
        B"10001110" when B"1100110111",  -- INDEX 823
1931
        B"10000000" when B"1100111000",  -- INDEX 824
1932
        B"10000110" when B"1100111001",  -- INDEX 825
1933
        B"10011110" when B"1100111010",  -- INDEX 826
1934
        B"11000100" when B"1100111011",  -- INDEX 827
1935
        B"11110100" when B"1100111100",  -- INDEX 828
1936
        B"00100101" when B"1100111101",  -- INDEX 829
1937
        B"01010001" when B"1100111110",  -- INDEX 830
1938
        B"01110001" when B"1100111111",  -- INDEX 831
1939
        B"01111111" when B"1101000000",  -- INDEX 832
1940
        B"01111011" when B"1101000001",  -- INDEX 833
1941
        B"01100100" when B"1101000010",  -- INDEX 834
1942
        B"00111110" when B"1101000011",  -- INDEX 835
1943
        B"00001111" when B"1101000100",  -- INDEX 836
1944
        B"11011101" when B"1101000101",  -- INDEX 837
1945
        B"10110001" when B"1101000110",  -- INDEX 838
1946
        B"10010001" when B"1101000111",  -- INDEX 839
1947
        B"10000001" when B"1101001000",  -- INDEX 840
1948
        B"10000100" when B"1101001001",  -- INDEX 841
1949
        B"10011010" when B"1101001010",  -- INDEX 842
1950
        B"11000000" when B"1101001011",  -- INDEX 843
1951
        B"11101111" when B"1101001100",  -- INDEX 844
1952
        B"00100000" when B"1101001101",  -- INDEX 845
1953
        B"01001101" when B"1101001110",  -- INDEX 846
1954
        B"01101110" when B"1101001111",  -- INDEX 847
1955
        B"01111111" when B"1101010000",  -- INDEX 848
1956
        B"01111100" when B"1101010001",  -- INDEX 849
1957
        B"01100111" when B"1101010010",  -- INDEX 850
1958
        B"01000010" when B"1101010011",  -- INDEX 851
1959
        B"00010011" when B"1101010100",  -- INDEX 852
1960
        B"11100010" when B"1101010101",  -- INDEX 853
1961
        B"10110101" when B"1101010110",  -- INDEX 854
1962
        B"10010011" when B"1101010111",  -- INDEX 855
1963
        B"10000010" when B"1101011000",  -- INDEX 856
1964
        B"10000011" when B"1101011001",  -- INDEX 857
1965
        B"10011000" when B"1101011010",  -- INDEX 858
1966
        B"10111100" when B"1101011011",  -- INDEX 859
1967
        B"11101011" when B"1101011100",  -- INDEX 860
1968
        B"00011101" when B"1101011101",  -- INDEX 861
1969
        B"01001010" when B"1101011110",  -- INDEX 862
1970
        B"01101100" when B"1101011111",  -- INDEX 863
1971
        B"01111110" when B"1101100000",  -- INDEX 864
1972
        B"01111101" when B"1101100001",  -- INDEX 865
1973
        B"01101001" when B"1101100010",  -- INDEX 866
1974
        B"01000101" when B"1101100011",  -- INDEX 867
1975
        B"00010111" when B"1101100100",  -- INDEX 868
1976
        B"11100101" when B"1101100101",  -- INDEX 869
1977
        B"10110111" when B"1101100110",  -- INDEX 870
1978
        B"10010101" when B"1101100111",  -- INDEX 871
1979
        B"10000010" when B"1101101000",  -- INDEX 872
1980
        B"10000011" when B"1101101001",  -- INDEX 873
1981
        B"10010110" when B"1101101010",  -- INDEX 874
1982
        B"10111010" when B"1101101011",  -- INDEX 875
1983
        B"11101000" when B"1101101100",  -- INDEX 876
1984
        B"00011010" when B"1101101101",  -- INDEX 877
1985
        B"01001000" when B"1101101110",  -- INDEX 878
1986
        B"01101011" when B"1101101111",  -- INDEX 879
1987
        B"01111110" when B"1101110000",  -- INDEX 880
1988
        B"01111101" when B"1101110001",  -- INDEX 881
1989
        B"01101010" when B"1101110010",  -- INDEX 882
1990
        B"01000111" when B"1101110011",  -- INDEX 883
1991
        B"00011001" when B"1101110100",  -- INDEX 884
1992
        B"11100111" when B"1101110101",  -- INDEX 885
1993
        B"10111001" when B"1101110110",  -- INDEX 886
1994
        B"10010101" when B"1101110111",  -- INDEX 887
1995
        B"10000010" when B"1101111000",  -- INDEX 888
1996
        B"10000010" when B"1101111001",  -- INDEX 889
1997
        B"10010110" when B"1101111010",  -- INDEX 890
1998
        B"10111001" when B"1101111011",  -- INDEX 891
1999
        B"11100111" when B"1101111100",  -- INDEX 892
2000
        B"00011001" when B"1101111101",  -- INDEX 893
2001
        B"01000111" when B"1101111110",  -- INDEX 894
2002
        B"01101010" when B"1101111111",  -- INDEX 895
2003
        B"01111110" when B"1110000000",  -- INDEX 896
2004
        B"01111110" when B"1110000001",  -- INDEX 897
2005
        B"01101011" when B"1110000010",  -- INDEX 898
2006
        B"01000111" when B"1110000011",  -- INDEX 899
2007
        B"00011001" when B"1110000100",  -- INDEX 900
2008
        B"11100111" when B"1110000101",  -- INDEX 901
2009
        B"10111001" when B"1110000110",  -- INDEX 902
2010
        B"10010110" when B"1110000111",  -- INDEX 903
2011
        B"10000011" when B"1110001000",  -- INDEX 904
2012
        B"10000010" when B"1110001001",  -- INDEX 905
2013
        B"10010101" when B"1110001010",  -- INDEX 906
2014
        B"10111001" when B"1110001011",  -- INDEX 907
2015
        B"11100111" when B"1110001100",  -- INDEX 908
2016
        B"00011001" when B"1110001101",  -- INDEX 909
2017
        B"01000111" when B"1110001110",  -- INDEX 910
2018
        B"01101010" when B"1110001111",  -- INDEX 911
2019
        B"01111110" when B"1110010000",  -- INDEX 912
2020
        B"01111110" when B"1110010001",  -- INDEX 913
2021
        B"01101010" when B"1110010010",  -- INDEX 914
2022
        B"01000111" when B"1110010011",  -- INDEX 915
2023
        B"00011001" when B"1110010100",  -- INDEX 916
2024
        B"11100111" when B"1110010101",  -- INDEX 917
2025
        B"10111000" when B"1110010110",  -- INDEX 918
2026
        B"10010101" when B"1110010111",  -- INDEX 919
2027
        B"10000010" when B"1110011000",  -- INDEX 920
2028
        B"10000011" when B"1110011001",  -- INDEX 921
2029
        B"10010110" when B"1110011010",  -- INDEX 922
2030
        B"10111010" when B"1110011011",  -- INDEX 923
2031
        B"11101000" when B"1110011100",  -- INDEX 924
2032
        B"00011010" when B"1110011101",  -- INDEX 925
2033
        B"01001000" when B"1110011110",  -- INDEX 926
2034
        B"01101011" when B"1110011111",  -- INDEX 927
2035
        B"01111110" when B"1110100000",  -- INDEX 928
2036
        B"01111101" when B"1110100001",  -- INDEX 929
2037
        B"01101001" when B"1110100010",  -- INDEX 930
2038
        B"01000101" when B"1110100011",  -- INDEX 931
2039
        B"00010111" when B"1110100100",  -- INDEX 932
2040
        B"11100101" when B"1110100101",  -- INDEX 933
2041
        B"10110111" when B"1110100110",  -- INDEX 934
2042
        B"10010100" when B"1110100111",  -- INDEX 935
2043
        B"10000010" when B"1110101000",  -- INDEX 936
2044
        B"10000011" when B"1110101001",  -- INDEX 937
2045
        B"10010111" when B"1110101010",  -- INDEX 938
2046
        B"10111100" when B"1110101011",  -- INDEX 939
2047
        B"11101011" when B"1110101100",  -- INDEX 940
2048
        B"00011101" when B"1110101101",  -- INDEX 941
2049
        B"01001010" when B"1110101110",  -- INDEX 942
2050
        B"01101101" when B"1110101111",  -- INDEX 943
2051
        B"01111110" when B"1110110000",  -- INDEX 944
2052
        B"01111101" when B"1110110001",  -- INDEX 945
2053
        B"01101000" when B"1110110010",  -- INDEX 946
2054
        B"01000011" when B"1110110011",  -- INDEX 947
2055
        B"00010100" when B"1110110100",  -- INDEX 948
2056
        B"11100010" when B"1110110101",  -- INDEX 949
2057
        B"10110100" when B"1110110110",  -- INDEX 950
2058
        B"10010010" when B"1110110111",  -- INDEX 951
2059
        B"10000001" when B"1110111000",  -- INDEX 952
2060
        B"10000100" when B"1110111001",  -- INDEX 953
2061
        B"10011010" when B"1110111010",  -- INDEX 954
2062
        B"10111111" when B"1110111011",  -- INDEX 955
2063
        B"11101110" when B"1110111100",  -- INDEX 956
2064
        B"00100001" when B"1110111101",  -- INDEX 957
2065
        B"01001110" when B"1110111110",  -- INDEX 958
2066
        B"01101111" when B"1110111111",  -- INDEX 959
2067
        B"01111111" when B"1111000000",  -- INDEX 960
2068
        B"01111011" when B"1111000001",  -- INDEX 961
2069
        B"01100101" when B"1111000010",  -- INDEX 962
2070
        B"00111111" when B"1111000011",  -- INDEX 963
2071
        B"00001111" when B"1111000100",  -- INDEX 964
2072
        B"11011101" when B"1111000101",  -- INDEX 965
2073
        B"10110000" when B"1111000110",  -- INDEX 966
2074
        B"10010000" when B"1111000111",  -- INDEX 967
2075
        B"10000001" when B"1111001000",  -- INDEX 968
2076
        B"10000101" when B"1111001001",  -- INDEX 969
2077
        B"10011101" when B"1111001010",  -- INDEX 970
2078
        B"11000011" when B"1111001011",  -- INDEX 971
2079
        B"11110011" when B"1111001100",  -- INDEX 972
2080
        B"00100110" when B"1111001101",  -- INDEX 973
2081
        B"01010010" when B"1111001110",  -- INDEX 974
2082
        B"01110001" when B"1111001111",  -- INDEX 975
2083
        B"01111111" when B"1111010000",  -- INDEX 976
2084
        B"01111010" when B"1111010001",  -- INDEX 977
2085
        B"01100001" when B"1111010010",  -- INDEX 978
2086
        B"00111010" when B"1111010011",  -- INDEX 979
2087
        B"00001001" when B"1111010100",  -- INDEX 980
2088
        B"11011000" when B"1111010101",  -- INDEX 981
2089
        B"10101100" when B"1111010110",  -- INDEX 982
2090
        B"10001101" when B"1111010111",  -- INDEX 983
2091
        B"10000000" when B"1111011000",  -- INDEX 984
2092
        B"10000111" when B"1111011001",  -- INDEX 985
2093
        B"10100001" when B"1111011010",  -- INDEX 986
2094
        B"11001001" when B"1111011011",  -- INDEX 987
2095
        B"11111010" when B"1111011100",  -- INDEX 988
2096
        B"00101100" when B"1111011101",  -- INDEX 989
2097
        B"01010111" when B"1111011110",  -- INDEX 990
2098
        B"01110100" when B"1111011111",  -- INDEX 991
2099
        B"01111111" when B"1111100000",  -- INDEX 992
2100
        B"01111000" when B"1111100001",  -- INDEX 993
2101
        B"01011101" when B"1111100010",  -- INDEX 994
2102
        B"00110100" when B"1111100011",  -- INDEX 995
2103
        B"00000010" when B"1111100100",  -- INDEX 996
2104
        B"11010001" when B"1111100101",  -- INDEX 997
2105
        B"10100111" when B"1111100110",  -- INDEX 998
2106
        B"10001010" when B"1111100111",  -- INDEX 999
2107
 
2108
-- END INPUT FM SIGNAL
2109
        B"00000000" when others;
2110
 
2111
end input_data;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.