OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [bench/] [xilinx_fpga.vhdl] - Blame information for rev 13

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 arif_endro
-- $Id: xilinx_fpga.vhdl,v 1.2 2005-02-21 06:51:30 arif_endro Exp $
2 6 arif_endro
-------------------------------------------------------------------------------
3
-- Title       : Xilinx FPGA Implementation
4
-- Project     : FM Receiver 
5
-------------------------------------------------------------------------------
6
-- File        : xilinx_fpga.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2005/01/04
9 13 arif_endro
-- Last update :
10
-- Simulators  : 
11 6 arif_endro
-- Synthesizers: Xilinx 6.3i
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Xilinx Connector to ILA, ICON, VIO
15
-------------------------------------------------------------------------------
16 13 arif_endro
-- Copyright (C) 2004 Arif E. Nugroho
17 6 arif_endro
-- This VHDL design file is an open design; you can redistribute it and/or
18
-- modify it and/or implement it after contacting the author
19
-------------------------------------------------------------------------------
20 13 arif_endro
-------------------------------------------------------------------------------
21
-- 
22
--      THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
23
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
24
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
25
-- ASSOCIATED DISCLAIMER.
26
-- 
27
-------------------------------------------------------------------------------
28
-- 
29
--      THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
30
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
31
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
32
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
33
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
34
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
35
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
36
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
37
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
38
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
39
-- 
40
-------------------------------------------------------------------------------
41 6 arif_endro
 
42
-------------------------------------------------------------------------------
43
-- Notes on Implementations
44
-- Generates ILA, ICON, and VIO cores using Xilinx ChipScope with
45
-- following options:
46
-- ICON => generates to control two devices (e.g. two control port)
47
-- ILA  => generates to capture two output signal (e.g. two trigger)
48
-- VIO  => generates one async control output to control reset signal on design
49
-------------------------------------------------------------------------------
50
 
51
library IEEE;
52
use IEEE.STD_LOGIC_1164.all;
53
use IEEE.STD_LOGIC_arith.all;
54
 
55
entity xilinx_fpga is
56
   port (
57
   clock             : in bit
58
   );
59
end xilinx_fpga;
60
 
61
architecture structural of xilinx_fpga is
62
  -------------------------------------------------------------------
63
  --
64
  --  Design Under Test
65
  --
66
  -------------------------------------------------------------------
67
  component bench
68
  port (
69
  clock              : in  bit;
70
  reset              : in  bit;
71
  output_fm          : out bit_vector (11 downto 0);
72
  output_fmTri       : out bit_vector (11 downto 0)
73
  );
74
  end component;
75
 
76
  -------------------------------------------------------------------
77
  --
78
  --  DUT Signal declaration
79
  --
80
  -------------------------------------------------------------------
81
  signal reset          : bit;
82
  signal output_fm      : bit_vector       (11 downto 0);
83
  signal output_fmTri   : bit_vector       (11 downto 0);
84
 
85
  -------------------------------------------------------------------
86
  --
87
  --  ICON core component declaration
88
  --
89
  -------------------------------------------------------------------
90
  component icon
91
    port
92
    (
93
      control0    :   out std_logic_vector(35 downto 0);
94
      control1    :   out std_logic_vector(35 downto 0)
95
    );
96
  end component;
97
 
98
 
99
  -------------------------------------------------------------------
100
  --
101
  --  ICON core signal declarations
102
  --
103
  -------------------------------------------------------------------
104
  signal control0       : std_logic_vector(35 downto 0);
105
  signal control1       : std_logic_vector(35 downto 0);
106
 
107
 
108
  -------------------------------------------------------------------
109
  --
110
  --  ILA core component declaration
111
  --
112
  -------------------------------------------------------------------
113
  component ila
114
    port
115
    (
116
      control     : in    std_logic_vector(35 downto 0);
117
      clk         : in    std_logic;
118
      trig0       : in    std_logic_vector(11 downto 0);
119
      trig1       : in    std_logic_vector(11 downto 0)
120
    );
121
  end component;
122
 
123
 
124
  -------------------------------------------------------------------
125
  --
126
  --  ILA core signal declarations
127
  --
128
  -------------------------------------------------------------------
129
--  signal control    : std_logic_vector(35 downto 0);
130
  signal clk        : std_logic;
131
  signal trig0      : std_logic_vector(11 downto 0);
132
  signal trig1      : std_logic_vector(11 downto 0);
133
 
134
  -------------------------------------------------------------------
135
  --
136
  --  VIO core component declaration
137
  --
138
  -------------------------------------------------------------------
139
  component vio
140
    port
141
    (
142
      control     : in    std_logic_vector(35 downto 0);
143
      async_out   : out   std_logic_vector(0 downto 0)
144
    );
145
  end component;
146
 
147
 
148
  -------------------------------------------------------------------
149
  --
150
  --  VIO core signal declarations
151
  --
152
  -------------------------------------------------------------------
153
--  signal control    : std_logic_vector(35 downto 0);
154
  signal async_out    : std_logic_vector(0 downto 0);
155
 
156
 
157
begin
158
 
159
  -------------------------------------------------------------------
160
  --  Design Under Test 
161
  --  Design + Test bench to make easy input date (lazy person)
162
  -------------------------------------------------------------------
163
  my_design : bench
164
  port map
165
  (
166
  clock        => clock,
167
  reset        => reset,
168
  output_fm    => output_fm,
169
  output_fmTri => output_fmTri
170
  );
171
 
172
  -------------------------------------------------------------------
173
  --
174
  --  ICON core instance
175
  --
176
  -------------------------------------------------------------------
177
  i_icon : icon
178
    port map
179
    (
180
      control0    => control0,
181
      control1    => control1
182
    );
183
 
184
  -------------------------------------------------------------------
185
  --
186
  --  ILA core instance
187
  --
188
  -------------------------------------------------------------------
189
  i_ila : ila
190
    port map
191
    (
192
      control   => control0,
193
      clk       => clk,
194
      trig0     => trig0,
195
      trig1     => trig1
196
    );
197
 
198
  clk          <= to_stdulogic (clock);
199
  trig0        <= to_stdlogicvector (output_fm);
200
  trig1        <= to_stdlogicvector (output_fmTri);
201
 
202
  -------------------------------------------------------------------
203
  --
204
  --  VIO core instance
205
  --
206
  -------------------------------------------------------------------
207
  i_vio : vio
208
    port map
209
    (
210
      control   => control1,
211
      async_out => async_out
212
    );
213
  reset <= to_bit (async_out(0));
214
 
215
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.