OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [bench/] [xilinx_fpga.vhdl] - Blame information for rev 32

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 14 arif_endro
-- $Id: xilinx_fpga.vhdl,v 1.3 2005-03-04 08:04:00 arif_endro Exp $
2 6 arif_endro
-------------------------------------------------------------------------------
3
-- Title       : Xilinx FPGA Implementation
4
-- Project     : FM Receiver 
5
-------------------------------------------------------------------------------
6
-- File        : xilinx_fpga.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2005/01/04
9 13 arif_endro
-- Last update :
10
-- Simulators  : 
11 6 arif_endro
-- Synthesizers: Xilinx 6.3i
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Xilinx Connector to ILA, ICON, VIO
15
-------------------------------------------------------------------------------
16 13 arif_endro
-- Copyright (C) 2004 Arif E. Nugroho
17 6 arif_endro
-- This VHDL design file is an open design; you can redistribute it and/or
18
-- modify it and/or implement it after contacting the author
19
-------------------------------------------------------------------------------
20 13 arif_endro
-------------------------------------------------------------------------------
21
-- 
22
--      THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
23
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
24
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
25
-- ASSOCIATED DISCLAIMER.
26
-- 
27
-------------------------------------------------------------------------------
28
-- 
29
--      THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
30
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
31
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
32
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
33
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
34
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
35
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
36
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
37
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
38
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
39
-- 
40
-------------------------------------------------------------------------------
41 6 arif_endro
 
42
-------------------------------------------------------------------------------
43
-- Notes on Implementations
44
-- Generates ILA, ICON, and VIO cores using Xilinx ChipScope with
45
-- following options:
46
-- ICON => generates to control two devices (e.g. two control port)
47
-- ILA  => generates to capture two output signal (e.g. two trigger)
48
-- VIO  => generates one async control output to control reset signal on design
49
-------------------------------------------------------------------------------
50
 
51
library IEEE;
52
use IEEE.STD_LOGIC_1164.all;
53
 
54
entity xilinx_fpga is
55
   port (
56
   clock             : in bit
57
   );
58
end xilinx_fpga;
59
 
60
architecture structural of xilinx_fpga is
61
  -------------------------------------------------------------------
62
  --
63
  --  Design Under Test
64
  --
65
  -------------------------------------------------------------------
66
  component bench
67
  port (
68
  clock              : in  bit;
69
  reset              : in  bit;
70
  output_fm          : out bit_vector (11 downto 0);
71
  output_fmTri       : out bit_vector (11 downto 0)
72
  );
73
  end component;
74
 
75
  -------------------------------------------------------------------
76
  --
77
  --  DUT Signal declaration
78
  --
79
  -------------------------------------------------------------------
80
  signal reset          : bit;
81
  signal output_fm      : bit_vector       (11 downto 0);
82
  signal output_fmTri   : bit_vector       (11 downto 0);
83
 
84
  -------------------------------------------------------------------
85
  --
86
  --  ICON core component declaration
87
  --
88
  -------------------------------------------------------------------
89
  component icon
90
    port
91
    (
92
      control0    :   out std_logic_vector(35 downto 0);
93
      control1    :   out std_logic_vector(35 downto 0)
94
    );
95
  end component;
96
 
97
 
98
  -------------------------------------------------------------------
99
  --
100
  --  ICON core signal declarations
101
  --
102
  -------------------------------------------------------------------
103
  signal control0       : std_logic_vector(35 downto 0);
104
  signal control1       : std_logic_vector(35 downto 0);
105
 
106
 
107
  -------------------------------------------------------------------
108
  --
109
  --  ILA core component declaration
110
  --
111
  -------------------------------------------------------------------
112
  component ila
113
    port
114
    (
115
      control     : in    std_logic_vector(35 downto 0);
116
      clk         : in    std_logic;
117
      trig0       : in    std_logic_vector(11 downto 0);
118
      trig1       : in    std_logic_vector(11 downto 0)
119
    );
120
  end component;
121
 
122
 
123
  -------------------------------------------------------------------
124
  --
125
  --  ILA core signal declarations
126
  --
127
  -------------------------------------------------------------------
128
--  signal control    : std_logic_vector(35 downto 0);
129
  signal clk        : std_logic;
130
  signal trig0      : std_logic_vector(11 downto 0);
131
  signal trig1      : std_logic_vector(11 downto 0);
132
 
133
  -------------------------------------------------------------------
134
  --
135
  --  VIO core component declaration
136
  --
137
  -------------------------------------------------------------------
138
  component vio
139
    port
140
    (
141
      control     : in    std_logic_vector(35 downto 0);
142
      async_out   : out   std_logic_vector(0 downto 0)
143
    );
144
  end component;
145
 
146
 
147
  -------------------------------------------------------------------
148
  --
149
  --  VIO core signal declarations
150
  --
151
  -------------------------------------------------------------------
152
--  signal control    : std_logic_vector(35 downto 0);
153
  signal async_out    : std_logic_vector(0 downto 0);
154
 
155
 
156
begin
157
 
158
  -------------------------------------------------------------------
159
  --  Design Under Test 
160
  --  Design + Test bench to make easy input date (lazy person)
161
  -------------------------------------------------------------------
162
  my_design : bench
163
  port map
164
  (
165
  clock        => clock,
166
  reset        => reset,
167
  output_fm    => output_fm,
168
  output_fmTri => output_fmTri
169
  );
170
 
171
  -------------------------------------------------------------------
172
  --
173
  --  ICON core instance
174
  --
175
  -------------------------------------------------------------------
176
  i_icon : icon
177
    port map
178
    (
179
      control0    => control0,
180
      control1    => control1
181
    );
182
 
183
  -------------------------------------------------------------------
184
  --
185
  --  ILA core instance
186
  --
187
  -------------------------------------------------------------------
188
  i_ila : ila
189
    port map
190
    (
191
      control   => control0,
192
      clk       => clk,
193
      trig0     => trig0,
194
      trig1     => trig1
195
    );
196
 
197
  clk          <= to_stdulogic (clock);
198
  trig0        <= to_stdlogicvector (output_fm);
199
  trig1        <= to_stdlogicvector (output_fmTri);
200
 
201
  -------------------------------------------------------------------
202
  --
203
  --  VIO core instance
204
  --
205
  -------------------------------------------------------------------
206
  i_vio : vio
207
    port map
208
    (
209
      control   => control1,
210
      async_out => async_out
211
    );
212
  reset <= to_bit (async_out(0));
213
 
214
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.