OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [bench/] [xilinx_fpga.vhdl] - Blame information for rev 6

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 arif_endro
-- $Id: xilinx_fpga.vhdl,v 1.1 2005-01-10 02:36:49 arif_endro Exp $
2
-------------------------------------------------------------------------------
3
-- Title       : Xilinx FPGA Implementation
4
-- Project     : FM Receiver 
5
-------------------------------------------------------------------------------
6
-- File        : xilinx_fpga.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2005/01/04
9
-- Last update : 2005/01/08
10
-- Simulators  : Modelsim 6.0
11
-- Synthesizers: Xilinx 6.3i
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Xilinx Connector to ILA, ICON, VIO
15
-------------------------------------------------------------------------------
16
-- Copyright (c) 2004 Arif E. Nugroho
17
-- This VHDL design file is an open design; you can redistribute it and/or
18
-- modify it and/or implement it after contacting the author
19
-------------------------------------------------------------------------------
20
 
21
-------------------------------------------------------------------------------
22
-- Notes on Implementations
23
-- Generates ILA, ICON, and VIO cores using Xilinx ChipScope with
24
-- following options:
25
-- ICON => generates to control two devices (e.g. two control port)
26
-- ILA  => generates to capture two output signal (e.g. two trigger)
27
-- VIO  => generates one async control output to control reset signal on design
28
-------------------------------------------------------------------------------
29
 
30
library IEEE;
31
use IEEE.STD_LOGIC_1164.all;
32
use IEEE.STD_LOGIC_arith.all;
33
 
34
entity xilinx_fpga is
35
   port (
36
   clock             : in bit
37
   );
38
end xilinx_fpga;
39
 
40
architecture structural of xilinx_fpga is
41
  -------------------------------------------------------------------
42
  --
43
  --  Design Under Test
44
  --
45
  -------------------------------------------------------------------
46
  component bench
47
  port (
48
  clock              : in  bit;
49
  reset              : in  bit;
50
  output_fm          : out bit_vector (11 downto 0);
51
  output_fmTri       : out bit_vector (11 downto 0)
52
  );
53
  end component;
54
 
55
  -------------------------------------------------------------------
56
  --
57
  --  DUT Signal declaration
58
  --
59
  -------------------------------------------------------------------
60
  signal reset          : bit;
61
  signal output_fm      : bit_vector       (11 downto 0);
62
  signal output_fmTri   : bit_vector       (11 downto 0);
63
 
64
  -------------------------------------------------------------------
65
  --
66
  --  ICON core component declaration
67
  --
68
  -------------------------------------------------------------------
69
  component icon
70
    port
71
    (
72
      control0    :   out std_logic_vector(35 downto 0);
73
      control1    :   out std_logic_vector(35 downto 0)
74
    );
75
  end component;
76
 
77
 
78
  -------------------------------------------------------------------
79
  --
80
  --  ICON core signal declarations
81
  --
82
  -------------------------------------------------------------------
83
  signal control0       : std_logic_vector(35 downto 0);
84
  signal control1       : std_logic_vector(35 downto 0);
85
 
86
 
87
  -------------------------------------------------------------------
88
  --
89
  --  ILA core component declaration
90
  --
91
  -------------------------------------------------------------------
92
  component ila
93
    port
94
    (
95
      control     : in    std_logic_vector(35 downto 0);
96
      clk         : in    std_logic;
97
      trig0       : in    std_logic_vector(11 downto 0);
98
      trig1       : in    std_logic_vector(11 downto 0)
99
    );
100
  end component;
101
 
102
 
103
  -------------------------------------------------------------------
104
  --
105
  --  ILA core signal declarations
106
  --
107
  -------------------------------------------------------------------
108
--  signal control    : std_logic_vector(35 downto 0);
109
  signal clk        : std_logic;
110
  signal trig0      : std_logic_vector(11 downto 0);
111
  signal trig1      : std_logic_vector(11 downto 0);
112
 
113
  -------------------------------------------------------------------
114
  --
115
  --  VIO core component declaration
116
  --
117
  -------------------------------------------------------------------
118
  component vio
119
    port
120
    (
121
      control     : in    std_logic_vector(35 downto 0);
122
      async_out   : out   std_logic_vector(0 downto 0)
123
    );
124
  end component;
125
 
126
 
127
  -------------------------------------------------------------------
128
  --
129
  --  VIO core signal declarations
130
  --
131
  -------------------------------------------------------------------
132
--  signal control    : std_logic_vector(35 downto 0);
133
  signal async_out    : std_logic_vector(0 downto 0);
134
 
135
 
136
begin
137
 
138
  -------------------------------------------------------------------
139
  --  Design Under Test 
140
  --  Design + Test bench to make easy input date (lazy person)
141
  -------------------------------------------------------------------
142
  my_design : bench
143
  port map
144
  (
145
  clock        => clock,
146
  reset        => reset,
147
  output_fm    => output_fm,
148
  output_fmTri => output_fmTri
149
  );
150
 
151
  -------------------------------------------------------------------
152
  --
153
  --  ICON core instance
154
  --
155
  -------------------------------------------------------------------
156
  i_icon : icon
157
    port map
158
    (
159
      control0    => control0,
160
      control1    => control1
161
    );
162
 
163
  -------------------------------------------------------------------
164
  --
165
  --  ILA core instance
166
  --
167
  -------------------------------------------------------------------
168
  i_ila : ila
169
    port map
170
    (
171
      control   => control0,
172
      clk       => clk,
173
      trig0     => trig0,
174
      trig1     => trig1
175
    );
176
 
177
  clk          <= to_stdulogic (clock);
178
  trig0        <= to_stdlogicvector (output_fm);
179
  trig1        <= to_stdlogicvector (output_fmTri);
180
 
181
  -------------------------------------------------------------------
182
  --
183
  --  VIO core instance
184
  --
185
  -------------------------------------------------------------------
186
  i_vio : vio
187
    port map
188
    (
189
      control   => control1,
190
      async_out => async_out
191
    );
192
  reset <= to_bit (async_out(0));
193
 
194
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.