OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [bench_xil/] [bench_xil.vhdl] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 arif_endro
-- $Id: bench_xil.vhdl,v 1.1.1.1 2005-01-04 02:05:56 arif_endro Exp $
2
-------------------------------------------------------------------------------
3
-- Title       : Test Bench For Xilinx
4
-- Project     : FM Receiver 
5
-------------------------------------------------------------------------------
6
-- File        : bench.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2004/12/23
9
-- Last update : 2005/01/02
10
-- Simulators  : Modelsim 6.0
11
-- Synthesizers: Xilinx 6.3i
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Test bench for FM receiver
15
-------------------------------------------------------------------------------
16
-- Copyright (c) 2004 Arif E. Nugroho
17
-- This VHDL design file is an open design; you can redistribute it and/or
18
-- modify it and/or implement it after contacting the author
19
-------------------------------------------------------------------------------
20
 
21
library IEEE;
22
use IEEE.STD_LOGIC_1164.ALL;
23
use IEEE.STD_LOGIC_arith.ALL;
24
use IEEE.STD_LOGIC_unsigned.ALL;
25
 
26
entity bench is
27
port (
28
    clock               : in  std_logic;
29
    reset               : in  std_logic
30
    );
31
end bench;
32
 
33
architecture structural of bench is
34
  component fm
35
  port (
36
    CLK              : in  std_logic;
37
    RESET            : in  std_logic;
38
    FMIN             : in  std_logic_vector (07 downto 0);
39
    DMOUT            : out std_logic_vector (11 downto 0)
40
    );
41
  end component;
42
 
43
  component input_fm
44
  port (
45
    clock            : in  std_logic;
46
    clear            : in  std_logic;
47
    test_signal_fm   : out bit_vector (07 downto 0);
48
    test_signal_fmTri: out bit_vector (07 downto 0)
49
    );
50
  end component;
51
 
52
  signal test_signal_fm        : bit_vector (07 downto 0);
53
  signal test_signal_fm_std    : std_logic_vector (07 downto 0);
54
  signal test_signal_fmTri     : bit_vector (07 downto 0);
55
  signal test_signal_fmTri_std : std_logic_vector (07 downto 0);
56
  signal output_fm_std         : std_logic_vector (11 downto 0);
57
 
58
  begin
59
 test_signal_fm_std    <= to_stdlogicvector (test_signal_fm);
60
 test_signal_fmTri_std <= to_stdlogicvector (test_signal_fmTri);
61
 
62
 myinput : input_fm
63
   port map (
64
    clock            => clock,
65
    clear            => reset,
66
    test_signal_fm   => test_signal_fm,
67
    test_signal_fmTri=> test_signal_fmTri
68
    );
69
  myfm : fm
70
   port map (
71
    CLK                  => clock,
72
    RESET                => reset,
73
    FMIN                 => test_signal_fm_std,
74
    DMOUT (11 downto 0)  => output_fm_std
75
    );
76
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.