OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [bench_xil/] [input_fm_xil.vhdl] - Blame information for rev 13

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 arif_endro
-- $Id: input_fm_xil.vhdl,v 1.2 2005-02-21 06:52:19 arif_endro Exp $
2 2 arif_endro
-------------------------------------------------------------------------------
3
-- Title       : Input signal FM For Xilinx
4
-- Project     : FM Receiver 
5
-------------------------------------------------------------------------------
6
-- File        : input_fm.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2004/12/22
9 13 arif_endro
-- Last update :
10
-- Simulators  :
11
-- Synthesizers:
12 2 arif_endro
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Input signal FM 1000 signal
15
-------------------------------------------------------------------------------
16 13 arif_endro
-- Copyright (C) 2004 Arif E. Nugroho
17 2 arif_endro
-- This VHDL design file is an open design; you can redistribute it and/or
18
-- modify it and/or implement it after contacting the author
19
-------------------------------------------------------------------------------
20 13 arif_endro
-------------------------------------------------------------------------------
21
-- 
22
--      THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
23
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
24
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
25
-- ASSOCIATED DISCLAIMER.
26
-- 
27
-------------------------------------------------------------------------------
28
-- 
29
--      THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
30
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
31
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
32
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
33
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
34
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
35
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
36
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
37
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
38
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
39
-- 
40
-------------------------------------------------------------------------------
41 2 arif_endro
 
42
library IEEE;
43
use IEEE.std_logic_1164.all;
44
use IEEE.std_logic_arith.all;
45
 
46
entity input_fm is
47
   port (
48
   clock              : in  std_logic;
49
   clear              : in  std_logic;
50
   test_signal_fm     : out bit_vector (07 downto 0);
51
   test_signal_fmTri  : out bit_vector (07 downto 0)
52
   );
53
end input_fm;
54
 
55
architecture input_data of input_fm is
56
component adder_10bit
57
        port (
58
        addend_10bit          : in  bit_vector (09 downto 0);
59
        augend_10bit          : in  bit_vector (09 downto 0);
60
        adder10_output        : out bit_vector (10 downto 0)
61
        );
62
end component;
63
 
64
signal test_signal_fm_int    : bit_vector (07 downto 0);
65
signal test_signal_fmTri_int : bit_vector (07 downto 0);
66
signal counter               : bit_vector (09 downto 0);
67
signal counter_tmp           : bit_vector (10 downto 0);
68
signal one_increment         : bit_vector (09 downto 0);
69
begin
70
 
71
 
72
    one_increment (00) <= '1';
73
    one_increment (01) <= '0';
74
    one_increment (02) <= '0';
75
    one_increment (03) <= '0';
76
    one_increment (04) <= '0';
77
    one_increment (05) <= '0';
78
    one_increment (06) <= '0';
79
    one_increment (07) <= '0';
80
    one_increment (08) <= '0';
81
    one_increment (09) <= '0';
82
 
83
counter_one : adder_10bit
84
    port map (
85
    addend_10bit   => counter,
86
    augend_10bit   => one_increment,
87
    adder10_output => counter_tmp
88
    );
89
 
90
process (clock, clear)
91
begin
92
    if (((clock = '1') and (not( clear = '1'))) and clock'event) then
93
                counter(09 downto 0) <= counter_tmp(09 downto 0);
94
                test_signal_fm    <= test_signal_fm_int;
95
                test_signal_fmTri <= test_signal_fmTri_int;
96
    elsif (clear = '1') then
97
                counter           <= (others => '0');
98
                test_signal_fm    <= (others => '0');
99
                test_signal_fmTri <= (others => '0');
100
    end if;
101
end process;
102
 
103
        with counter (09 downto 0) select
104
        test_signal_fm_int <=
105
-- START INPUT FM SIGNAL
106
 
107
        B"01111111" when B"0000000000",  -- INDEX 0
108
        B"01110110" when B"0000000001",  -- INDEX 1
109
        B"01011010" when B"0000000010",  -- INDEX 2
110
        B"00110000" when B"0000000011",  -- INDEX 3
111
        B"11111110" when B"0000000100",  -- INDEX 4
112
        B"11001101" when B"0000000101",  -- INDEX 5
113
        B"10100011" when B"0000000110",  -- INDEX 6
114
        B"10001000" when B"0000000111",  -- INDEX 7
115
        B"10000000" when B"0000001000",  -- INDEX 8
116
        B"10001100" when B"0000001001",  -- INDEX 9
117
        B"10101001" when B"0000001010",  -- INDEX 10
118
        B"11010100" when B"0000001011",  -- INDEX 11
119
        B"00000110" when B"0000001100",  -- INDEX 12
120
        B"00110111" when B"0000001101",  -- INDEX 13
121
        B"01011111" when B"0000001110",  -- INDEX 14
122
        B"01111001" when B"0000001111",  -- INDEX 15
123
        B"01111111" when B"0000010000",  -- INDEX 16
124
        B"01110011" when B"0000010001",  -- INDEX 17
125
        B"01010100" when B"0000010010",  -- INDEX 18
126
        B"00101000" when B"0000010011",  -- INDEX 19
127
        B"11110110" when B"0000010100",  -- INDEX 20
128
        B"11000101" when B"0000010101",  -- INDEX 21
129
        B"10011110" when B"0000010110",  -- INDEX 22
130
        B"10000110" when B"0000010111",  -- INDEX 23
131
        B"10000001" when B"0000011000",  -- INDEX 24
132
        B"10001111" when B"0000011001",  -- INDEX 25
133
        B"10101111" when B"0000011010",  -- INDEX 26
134
        B"11011100" when B"0000011011",  -- INDEX 27
135
        B"00001110" when B"0000011100",  -- INDEX 28
136
        B"00111110" when B"0000011101",  -- INDEX 29
137
        B"01100101" when B"0000011110",  -- INDEX 30
138
        B"01111011" when B"0000011111",  -- INDEX 31
139
        B"01111111" when B"0000100000",  -- INDEX 32
140
        B"01101111" when B"0000100001",  -- INDEX 33
141
        B"01001110" when B"0000100010",  -- INDEX 34
142
        B"00100000" when B"0000100011",  -- INDEX 35
143
        B"11101110" when B"0000100100",  -- INDEX 36
144
        B"10111110" when B"0000100101",  -- INDEX 37
145
        B"10011001" when B"0000100110",  -- INDEX 38
146
        B"10000100" when B"0000100111",  -- INDEX 39
147
        B"10000010" when B"0000101000",  -- INDEX 40
148
        B"10010011" when B"0000101001",  -- INDEX 41
149
        B"10110110" when B"0000101010",  -- INDEX 42
150
        B"11100100" when B"0000101011",  -- INDEX 43
151
        B"00010110" when B"0000101100",  -- INDEX 44
152
        B"01000101" when B"0000101101",  -- INDEX 45
153
        B"01101001" when B"0000101110",  -- INDEX 46
154
        B"01111101" when B"0000101111",  -- INDEX 47
155
        B"01111110" when B"0000110000",  -- INDEX 48
156
        B"01101011" when B"0000110001",  -- INDEX 49
157
        B"01000111" when B"0000110010",  -- INDEX 50
158
        B"00011000" when B"0000110011",  -- INDEX 51
159
        B"11100110" when B"0000110100",  -- INDEX 52
160
        B"10111000" when B"0000110101",  -- INDEX 53
161
        B"10010100" when B"0000110110",  -- INDEX 54
162
        B"10000010" when B"0000110111",  -- INDEX 55
163
        B"10000011" when B"0000111000",  -- INDEX 56
164
        B"10011000" when B"0000111001",  -- INDEX 57
165
        B"10111100" when B"0000111010",  -- INDEX 58
166
        B"11101011" when B"0000111011",  -- INDEX 59
167
        B"00011110" when B"0000111100",  -- INDEX 60
168
        B"01001100" when B"0000111101",  -- INDEX 61
169
        B"01101110" when B"0000111110",  -- INDEX 62
170
        B"01111111" when B"0000111111",  -- INDEX 63
171
        B"01111100" when B"0001000000",  -- INDEX 64
172
        B"01100110" when B"0001000001",  -- INDEX 65
173
        B"01000000" when B"0001000010",  -- INDEX 66
174
        B"00010001" when B"0001000011",  -- INDEX 67
175
        B"11011110" when B"0001000100",  -- INDEX 68
176
        B"10110001" when B"0001000101",  -- INDEX 69
177
        B"10010000" when B"0001000110",  -- INDEX 70
178
        B"10000001" when B"0001000111",  -- INDEX 71
179
        B"10000101" when B"0001001000",  -- INDEX 72
180
        B"10011100" when B"0001001001",  -- INDEX 73
181
        B"11000011" when B"0001001010",  -- INDEX 74
182
        B"11110011" when B"0001001011",  -- INDEX 75
183
        B"00100110" when B"0001001100",  -- INDEX 76
184
        B"01010010" when B"0001001101",  -- INDEX 77
185
        B"01110010" when B"0001001110",  -- INDEX 78
186
        B"01111111" when B"0001001111",  -- INDEX 79
187
        B"01111010" when B"0001010000",  -- INDEX 80
188
        B"01100001" when B"0001010001",  -- INDEX 81
189
        B"00111001" when B"0001010010",  -- INDEX 82
190
        B"00001001" when B"0001010011",  -- INDEX 83
191
        B"11010111" when B"0001010100",  -- INDEX 84
192
        B"10101011" when B"0001010101",  -- INDEX 85
193
        B"10001101" when B"0001010110",  -- INDEX 86
194
        B"10000000" when B"0001010111",  -- INDEX 87
195
        B"10001000" when B"0001011000",  -- INDEX 88
196
        B"10100010" when B"0001011001",  -- INDEX 89
197
        B"11001010" when B"0001011010",  -- INDEX 90
198
        B"11111011" when B"0001011011",  -- INDEX 91
199
        B"00101101" when B"0001011100",  -- INDEX 92
200
        B"01011000" when B"0001011101",  -- INDEX 93
201
        B"01110101" when B"0001011110",  -- INDEX 94
202
        B"01111111" when B"0001011111",  -- INDEX 95
203
        B"01110111" when B"0001100000",  -- INDEX 96
204
        B"01011100" when B"0001100001",  -- INDEX 97
205
        B"00110010" when B"0001100010",  -- INDEX 98
206
        B"00000001" when B"0001100011",  -- INDEX 99
207
        B"11001111" when B"0001100100",  -- INDEX 100
208
        B"10100101" when B"0001100101",  -- INDEX 101
209
        B"10001001" when B"0001100110",  -- INDEX 102
210
        B"10000000" when B"0001100111",  -- INDEX 103
211
        B"10001011" when B"0001101000",  -- INDEX 104
212
        B"10100111" when B"0001101001",  -- INDEX 105
213
        B"11010010" when B"0001101010",  -- INDEX 106
214
        B"00000100" when B"0001101011",  -- INDEX 107
215
        B"00110101" when B"0001101100",  -- INDEX 108
216
        B"01011110" when B"0001101101",  -- INDEX 109
217
        B"01111000" when B"0001101110",  -- INDEX 110
218
        B"01111111" when B"0001101111",  -- INDEX 111
219
        B"01110100" when B"0001110000",  -- INDEX 112
220
        B"01010110" when B"0001110001",  -- INDEX 113
221
        B"00101010" when B"0001110010",  -- INDEX 114
222
        B"11111000" when B"0001110011",  -- INDEX 115
223
        B"11001000" when B"0001110100",  -- INDEX 116
224
        B"10100000" when B"0001110101",  -- INDEX 117
225
        B"10000111" when B"0001110110",  -- INDEX 118
226
        B"10000000" when B"0001110111",  -- INDEX 119
227
        B"10001110" when B"0001111000",  -- INDEX 120
228
        B"10101101" when B"0001111001",  -- INDEX 121
229
        B"11011001" when B"0001111010",  -- INDEX 122
230
        B"00001100" when B"0001111011",  -- INDEX 123
231
        B"00111100" when B"0001111100",  -- INDEX 124
232
        B"01100011" when B"0001111101",  -- INDEX 125
233
        B"01111011" when B"0001111110",  -- INDEX 126
234
        B"01111111" when B"0001111111",  -- INDEX 127
235
        B"01110000" when B"0010000000",  -- INDEX 128
236
        B"01010000" when B"0010000001",  -- INDEX 129
237
        B"00100011" when B"0010000010",  -- INDEX 130
238
        B"11110000" when B"0010000011",  -- INDEX 131
239
        B"11000001" when B"0010000100",  -- INDEX 132
240
        B"10011011" when B"0010000101",  -- INDEX 133
241
        B"10000100" when B"0010000110",  -- INDEX 134
242
        B"10000001" when B"0010000111",  -- INDEX 135
243
        B"10010010" when B"0010001000",  -- INDEX 136
244
        B"10110100" when B"0010001001",  -- INDEX 137
245
        B"11100001" when B"0010001010",  -- INDEX 138
246
        B"00010100" when B"0010001011",  -- INDEX 139
247
        B"01000011" when B"0010001100",  -- INDEX 140
248
        B"01101000" when B"0010001101",  -- INDEX 141
249
        B"01111101" when B"0010001110",  -- INDEX 142
250
        B"01111110" when B"0010001111",  -- INDEX 143
251
        B"01101100" when B"0010010000",  -- INDEX 144
252
        B"01001001" when B"0010010001",  -- INDEX 145
253
        B"00011011" when B"0010010010",  -- INDEX 146
254
        B"11101001" when B"0010010011",  -- INDEX 147
255
        B"10111010" when B"0010010100",  -- INDEX 148
256
        B"10010110" when B"0010010101",  -- INDEX 149
257
        B"10000010" when B"0010010110",  -- INDEX 150
258
        B"10000011" when B"0010010111",  -- INDEX 151
259
        B"10010110" when B"0010011000",  -- INDEX 152
260
        B"10111010" when B"0010011001",  -- INDEX 153
261
        B"11101001" when B"0010011010",  -- INDEX 154
262
        B"00011011" when B"0010011011",  -- INDEX 155
263
        B"01001010" when B"0010011100",  -- INDEX 156
264
        B"01101100" when B"0010011101",  -- INDEX 157
265
        B"01111110" when B"0010011110",  -- INDEX 158
266
        B"01111101" when B"0010011111",  -- INDEX 159
267
        B"01101000" when B"0010100000",  -- INDEX 160
268
        B"01000010" when B"0010100001",  -- INDEX 161
269
        B"00010011" when B"0010100010",  -- INDEX 162
270
        B"11100001" when B"0010100011",  -- INDEX 163
271
        B"10110011" when B"0010100100",  -- INDEX 164
272
        B"10010010" when B"0010100101",  -- INDEX 165
273
        B"10000001" when B"0010100110",  -- INDEX 166
274
        B"10000100" when B"0010100111",  -- INDEX 167
275
        B"10011011" when B"0010101000",  -- INDEX 168
276
        B"11000001" when B"0010101001",  -- INDEX 169
277
        B"11110001" when B"0010101010",  -- INDEX 170
278
        B"00100011" when B"0010101011",  -- INDEX 171
279
        B"01010000" when B"0010101100",  -- INDEX 172
280
        B"01110000" when B"0010101101",  -- INDEX 173
281
        B"01111111" when B"0010101110",  -- INDEX 174
282
        B"01111010" when B"0010101111",  -- INDEX 175
283
        B"01100011" when B"0010110000",  -- INDEX 176
284
        B"00111011" when B"0010110001",  -- INDEX 177
285
        B"00001011" when B"0010110010",  -- INDEX 178
286
        B"11011001" when B"0010110011",  -- INDEX 179
287
        B"10101101" when B"0010110100",  -- INDEX 180
288
        B"10001110" when B"0010110101",  -- INDEX 181
289
        B"10000000" when B"0010110110",  -- INDEX 182
290
        B"10000111" when B"0010110111",  -- INDEX 183
291
        B"10100000" when B"0010111000",  -- INDEX 184
292
        B"11001000" when B"0010111001",  -- INDEX 185
293
        B"11111001" when B"0010111010",  -- INDEX 186
294
        B"00101011" when B"0010111011",  -- INDEX 187
295
        B"01010110" when B"0010111100",  -- INDEX 188
296
        B"01110100" when B"0010111101",  -- INDEX 189
297
        B"01111111" when B"0010111110",  -- INDEX 190
298
        B"01111000" when B"0010111111",  -- INDEX 191
299
        B"01011101" when B"0011000000",  -- INDEX 192
300
        B"00110100" when B"0011000001",  -- INDEX 193
301
        B"00000011" when B"0011000010",  -- INDEX 194
302
        B"11010001" when B"0011000011",  -- INDEX 195
303
        B"10100111" when B"0011000100",  -- INDEX 196
304
        B"10001010" when B"0011000101",  -- INDEX 197
305
        B"10000000" when B"0011000110",  -- INDEX 198
306
        B"10001010" when B"0011000111",  -- INDEX 199
307
        B"10100101" when B"0011001000",  -- INDEX 200
308
        B"11001111" when B"0011001001",  -- INDEX 201
309
        B"11111111" when B"0011001010",  -- INDEX 202
310
        B"00110000" when B"0011001011",  -- INDEX 203
311
        B"01011001" when B"0011001100",  -- INDEX 204
312
        B"01110101" when B"0011001101",  -- INDEX 205
313
        B"01111111" when B"0011001110",  -- INDEX 206
314
        B"01111000" when B"0011001111",  -- INDEX 207
315
        B"01011101" when B"0011010000",  -- INDEX 208
316
        B"00110101" when B"0011010001",  -- INDEX 209
317
        B"00000101" when B"0011010010",  -- INDEX 210
318
        B"11010100" when B"0011010011",  -- INDEX 211
319
        B"10101010" when B"0011010100",  -- INDEX 212
320
        B"10001100" when B"0011010101",  -- INDEX 213
321
        B"10000000" when B"0011010110",  -- INDEX 214
322
        B"10000111" when B"0011010111",  -- INDEX 215
323
        B"10100000" when B"0011011000",  -- INDEX 216
324
        B"11000111" when B"0011011001",  -- INDEX 217
325
        B"11110111" when B"0011011010",  -- INDEX 218
326
        B"00101000" when B"0011011011",  -- INDEX 219
327
        B"01010011" when B"0011011100",  -- INDEX 220
328
        B"01110010" when B"0011011101",  -- INDEX 221
329
        B"01111111" when B"0011011110",  -- INDEX 222
330
        B"01111010" when B"0011011111",  -- INDEX 223
331
        B"01100011" when B"0011100000",  -- INDEX 224
332
        B"00111100" when B"0011100001",  -- INDEX 225
333
        B"00001101" when B"0011100010",  -- INDEX 226
334
        B"11011100" when B"0011100011",  -- INDEX 227
335
        B"10110000" when B"0011100100",  -- INDEX 228
336
        B"10010000" when B"0011100101",  -- INDEX 229
337
        B"10000001" when B"0011100110",  -- INDEX 230
338
        B"10000101" when B"0011100111",  -- INDEX 231
339
        B"10011011" when B"0011101000",  -- INDEX 232
340
        B"11000000" when B"0011101001",  -- INDEX 233
341
        B"11101111" when B"0011101010",  -- INDEX 234
342
        B"00100000" when B"0011101011",  -- INDEX 235
343
        B"01001101" when B"0011101100",  -- INDEX 236
344
        B"01101110" when B"0011101101",  -- INDEX 237
345
        B"01111111" when B"0011101110",  -- INDEX 238
346
        B"01111100" when B"0011101111",  -- INDEX 239
347
        B"01101000" when B"0011110000",  -- INDEX 240
348
        B"01000011" when B"0011110001",  -- INDEX 241
349
        B"00010101" when B"0011110010",  -- INDEX 242
350
        B"11100100" when B"0011110011",  -- INDEX 243
351
        B"10110110" when B"0011110100",  -- INDEX 244
352
        B"10010100" when B"0011110101",  -- INDEX 245
353
        B"10000010" when B"0011110110",  -- INDEX 246
354
        B"10000011" when B"0011110111",  -- INDEX 247
355
        B"10010110" when B"0011111000",  -- INDEX 248
356
        B"10111001" when B"0011111001",  -- INDEX 249
357
        B"11100111" when B"0011111010",  -- INDEX 250
358
        B"00011000" when B"0011111011",  -- INDEX 251
359
        B"01000110" when B"0011111100",  -- INDEX 252
360
        B"01101010" when B"0011111101",  -- INDEX 253
361
        B"01111101" when B"0011111110",  -- INDEX 254
362
        B"01111110" when B"0011111111",  -- INDEX 255
363
        B"01101100" when B"0100000000",  -- INDEX 256
364
        B"01001010" when B"0100000001",  -- INDEX 257
365
        B"00011101" when B"0100000010",  -- INDEX 258
366
        B"11101011" when B"0100000011",  -- INDEX 259
367
        B"10111101" when B"0100000100",  -- INDEX 260
368
        B"10011001" when B"0100000101",  -- INDEX 261
369
        B"10000100" when B"0100000110",  -- INDEX 262
370
        B"10000001" when B"0100000111",  -- INDEX 263
371
        B"10010010" when B"0100001000",  -- INDEX 264
372
        B"10110011" when B"0100001001",  -- INDEX 265
373
        B"11011111" when B"0100001010",  -- INDEX 266
374
        B"00010001" when B"0100001011",  -- INDEX 267
375
        B"00111111" when B"0100001100",  -- INDEX 268
376
        B"01100101" when B"0100001101",  -- INDEX 269
377
        B"01111011" when B"0100001110",  -- INDEX 270
378
        B"01111111" when B"0100001111",  -- INDEX 271
379
        B"01110000" when B"0100010000",  -- INDEX 272
380
        B"01010000" when B"0100010001",  -- INDEX 273
381
        B"00100101" when B"0100010010",  -- INDEX 274
382
        B"11110011" when B"0100010011",  -- INDEX 275
383
        B"11000100" when B"0100010100",  -- INDEX 276
384
        B"10011110" when B"0100010101",  -- INDEX 277
385
        B"10000110" when B"0100010110",  -- INDEX 278
386
        B"10000000" when B"0100010111",  -- INDEX 279
387
        B"10001110" when B"0100011000",  -- INDEX 280
388
        B"10101100" when B"0100011001",  -- INDEX 281
389
        B"11010111" when B"0100011010",  -- INDEX 282
390
        B"00001001" when B"0100011011",  -- INDEX 283
391
        B"00111000" when B"0100011100",  -- INDEX 284
392
        B"01100000" when B"0100011101",  -- INDEX 285
393
        B"01111001" when B"0100011110",  -- INDEX 286
394
        B"01111111" when B"0100011111",  -- INDEX 287
395
        B"01110100" when B"0100100000",  -- INDEX 288
396
        B"01010111" when B"0100100001",  -- INDEX 289
397
        B"00101100" when B"0100100010",  -- INDEX 290
398
        B"11111011" when B"0100100011",  -- INDEX 291
399
        B"11001011" when B"0100100100",  -- INDEX 292
400
        B"10100011" when B"0100100101",  -- INDEX 293
401
        B"10001001" when B"0100100110",  -- INDEX 294
402
        B"10000000" when B"0100100111",  -- INDEX 295
403
        B"10001011" when B"0100101000",  -- INDEX 296
404
        B"10100111" when B"0100101001",  -- INDEX 297
405
        B"11010000" when B"0100101010",  -- INDEX 298
406
        B"00000001" when B"0100101011",  -- INDEX 299
407
        B"00110001" when B"0100101100",  -- INDEX 300
408
        B"01011010" when B"0100101101",  -- INDEX 301
409
        B"01110110" when B"0100101110",  -- INDEX 302
410
        B"01111111" when B"0100101111",  -- INDEX 303
411
        B"01110111" when B"0100110000",  -- INDEX 304
412
        B"01011100" when B"0100110001",  -- INDEX 305
413
        B"00110100" when B"0100110010",  -- INDEX 306
414
        B"00000100" when B"0100110011",  -- INDEX 307
415
        B"11010011" when B"0100110100",  -- INDEX 308
416
        B"10101001" when B"0100110101",  -- INDEX 309
417
        B"10001100" when B"0100110110",  -- INDEX 310
418
        B"10000000" when B"0100110111",  -- INDEX 311
419
        B"10001000" when B"0100111000",  -- INDEX 312
420
        B"10100001" when B"0100111001",  -- INDEX 313
421
        B"11001001" when B"0100111010",  -- INDEX 314
422
        B"11111000" when B"0100111011",  -- INDEX 315
423
        B"00101001" when B"0100111100",  -- INDEX 316
424
        B"01010100" when B"0100111101",  -- INDEX 317
425
        B"01110011" when B"0100111110",  -- INDEX 318
426
        B"01111111" when B"0100111111",  -- INDEX 319
427
        B"01111010" when B"0101000000",  -- INDEX 320
428
        B"01100010" when B"0101000001",  -- INDEX 321
429
        B"00111011" when B"0101000010",  -- INDEX 322
430
        B"00001100" when B"0101000011",  -- INDEX 323
431
        B"11011010" when B"0101000100",  -- INDEX 324
432
        B"10101111" when B"0101000101",  -- INDEX 325
433
        B"10001111" when B"0101000110",  -- INDEX 326
434
        B"10000001" when B"0101000111",  -- INDEX 327
435
        B"10000101" when B"0101001000",  -- INDEX 328
436
        B"10011100" when B"0101001001",  -- INDEX 329
437
        B"11000001" when B"0101001010",  -- INDEX 330
438
        B"11110000" when B"0101001011",  -- INDEX 331
439
        B"00100010" when B"0101001100",  -- INDEX 332
440
        B"01001110" when B"0101001101",  -- INDEX 333
441
        B"01101111" when B"0101001110",  -- INDEX 334
442
        B"01111111" when B"0101001111",  -- INDEX 335
443
        B"01111100" when B"0101010000",  -- INDEX 336
444
        B"01100111" when B"0101010001",  -- INDEX 337
445
        B"01000010" when B"0101010010",  -- INDEX 338
446
        B"00010100" when B"0101010011",  -- INDEX 339
447
        B"11100010" when B"0101010100",  -- INDEX 340
448
        B"10110101" when B"0101010101",  -- INDEX 341
449
        B"10010011" when B"0101010110",  -- INDEX 342
450
        B"10000010" when B"0101010111",  -- INDEX 343
451
        B"10000011" when B"0101011000",  -- INDEX 344
452
        B"10010111" when B"0101011001",  -- INDEX 345
453
        B"10111011" when B"0101011010",  -- INDEX 346
454
        B"11101001" when B"0101011011",  -- INDEX 347
455
        B"00011010" when B"0101011100",  -- INDEX 348
456
        B"01001000" when B"0101011101",  -- INDEX 349
457
        B"01101010" when B"0101011110",  -- INDEX 350
458
        B"01111101" when B"0101011111",  -- INDEX 351
459
        B"01111110" when B"0101100000",  -- INDEX 352
460
        B"01101011" when B"0101100001",  -- INDEX 353
461
        B"01001001" when B"0101100010",  -- INDEX 354
462
        B"00011011" when B"0101100011",  -- INDEX 355
463
        B"11101010" when B"0101100100",  -- INDEX 356
464
        B"10111100" when B"0101100101",  -- INDEX 357
465
        B"10011000" when B"0101100110",  -- INDEX 358
466
        B"10000011" when B"0101100111",  -- INDEX 359
467
        B"10000010" when B"0101101000",  -- INDEX 360
468
        B"10010011" when B"0101101001",  -- INDEX 361
469
        B"10110100" when B"0101101010",  -- INDEX 362
470
        B"11100001" when B"0101101011",  -- INDEX 363
471
        B"00010010" when B"0101101100",  -- INDEX 364
472
        B"01000001" when B"0101101101",  -- INDEX 365
473
        B"01100110" when B"0101101110",  -- INDEX 366
474
        B"01111100" when B"0101101111",  -- INDEX 367
475
        B"01111111" when B"0101110000",  -- INDEX 368
476
        B"01101111" when B"0101110001",  -- INDEX 369
477
        B"01001111" when B"0101110010",  -- INDEX 370
478
        B"00100011" when B"0101110011",  -- INDEX 371
479
        B"11110010" when B"0101110100",  -- INDEX 372
480
        B"11000011" when B"0101110101",  -- INDEX 373
481
        B"10011101" when B"0101110110",  -- INDEX 374
482
        B"10000110" when B"0101110111",  -- INDEX 375
483
        B"10000001" when B"0101111000",  -- INDEX 376
484
        B"10001111" when B"0101111001",  -- INDEX 377
485
        B"10101110" when B"0101111010",  -- INDEX 378
486
        B"11011001" when B"0101111011",  -- INDEX 379
487
        B"00001010" when B"0101111100",  -- INDEX 380
488
        B"00111010" when B"0101111101",  -- INDEX 381
489
        B"01100001" when B"0101111110",  -- INDEX 382
490
        B"01111001" when B"0101111111",  -- INDEX 383
491
        B"01111111" when B"0110000000",  -- INDEX 384
492
        B"01110011" when B"0110000001",  -- INDEX 385
493
        B"01010101" when B"0110000010",  -- INDEX 386
494
        B"00101011" when B"0110000011",  -- INDEX 387
495
        B"11111010" when B"0110000100",  -- INDEX 388
496
        B"11001010" when B"0110000101",  -- INDEX 389
497
        B"10100010" when B"0110000110",  -- INDEX 390
498
        B"10001000" when B"0110000111",  -- INDEX 391
499
        B"10000000" when B"0110001000",  -- INDEX 392
500
        B"10001011" when B"0110001001",  -- INDEX 393
501
        B"10101000" when B"0110001010",  -- INDEX 394
502
        B"11010001" when B"0110001011",  -- INDEX 395
503
        B"00000010" when B"0110001100",  -- INDEX 396
504
        B"00110010" when B"0110001101",  -- INDEX 397
505
        B"01011011" when B"0110001110",  -- INDEX 398
506
        B"01110110" when B"0110001111",  -- INDEX 399
507
        B"01111111" when B"0110010000",  -- INDEX 400
508
        B"01110110" when B"0110010001",  -- INDEX 401
509
        B"01011010" when B"0110010010",  -- INDEX 402
510
        B"00110000" when B"0110010011",  -- INDEX 403
511
        B"11111110" when B"0110010100",  -- INDEX 404
512
        B"11001101" when B"0110010101",  -- INDEX 405
513
        B"10100011" when B"0110010110",  -- INDEX 406
514
        B"10001000" when B"0110010111",  -- INDEX 407
515
        B"10000000" when B"0110011000",  -- INDEX 408
516
        B"10001100" when B"0110011001",  -- INDEX 409
517
        B"10101001" when B"0110011010",  -- INDEX 410
518
        B"11010100" when B"0110011011",  -- INDEX 411
519
        B"00000110" when B"0110011100",  -- INDEX 412
520
        B"00110111" when B"0110011101",  -- INDEX 413
521
        B"01011111" when B"0110011110",  -- INDEX 414
522
        B"01111001" when B"0110011111",  -- INDEX 415
523
        B"01111111" when B"0110100000",  -- INDEX 416
524
        B"01110011" when B"0110100001",  -- INDEX 417
525
        B"01010100" when B"0110100010",  -- INDEX 418
526
        B"00101000" when B"0110100011",  -- INDEX 419
527
        B"11110110" when B"0110100100",  -- INDEX 420
528
        B"11000101" when B"0110100101",  -- INDEX 421
529
        B"10011110" when B"0110100110",  -- INDEX 422
530
        B"10000110" when B"0110100111",  -- INDEX 423
531
        B"10000001" when B"0110101000",  -- INDEX 424
532
        B"10001111" when B"0110101001",  -- INDEX 425
533
        B"10101111" when B"0110101010",  -- INDEX 426
534
        B"11011100" when B"0110101011",  -- INDEX 427
535
        B"00001110" when B"0110101100",  -- INDEX 428
536
        B"00111110" when B"0110101101",  -- INDEX 429
537
        B"01100101" when B"0110101110",  -- INDEX 430
538
        B"01111011" when B"0110101111",  -- INDEX 431
539
        B"01111111" when B"0110110000",  -- INDEX 432
540
        B"01101111" when B"0110110001",  -- INDEX 433
541
        B"01001110" when B"0110110010",  -- INDEX 434
542
        B"00100000" when B"0110110011",  -- INDEX 435
543
        B"11101110" when B"0110110100",  -- INDEX 436
544
        B"10111110" when B"0110110101",  -- INDEX 437
545
        B"10011001" when B"0110110110",  -- INDEX 438
546
        B"10000100" when B"0110110111",  -- INDEX 439
547
        B"10000010" when B"0110111000",  -- INDEX 440
548
        B"10010011" when B"0110111001",  -- INDEX 441
549
        B"10110110" when B"0110111010",  -- INDEX 442
550
        B"11100100" when B"0110111011",  -- INDEX 443
551
        B"00010110" when B"0110111100",  -- INDEX 444
552
        B"01000101" when B"0110111101",  -- INDEX 445
553
        B"01101001" when B"0110111110",  -- INDEX 446
554
        B"01111101" when B"0110111111",  -- INDEX 447
555
        B"01111110" when B"0111000000",  -- INDEX 448
556
        B"01101011" when B"0111000001",  -- INDEX 449
557
        B"01000111" when B"0111000010",  -- INDEX 450
558
        B"00011000" when B"0111000011",  -- INDEX 451
559
        B"11100110" when B"0111000100",  -- INDEX 452
560
        B"10111000" when B"0111000101",  -- INDEX 453
561
        B"10010100" when B"0111000110",  -- INDEX 454
562
        B"10000010" when B"0111000111",  -- INDEX 455
563
        B"10000011" when B"0111001000",  -- INDEX 456
564
        B"10011000" when B"0111001001",  -- INDEX 457
565
        B"10111100" when B"0111001010",  -- INDEX 458
566
        B"11101011" when B"0111001011",  -- INDEX 459
567
        B"00011110" when B"0111001100",  -- INDEX 460
568
        B"01001100" when B"0111001101",  -- INDEX 461
569
        B"01101110" when B"0111001110",  -- INDEX 462
570
        B"01111111" when B"0111001111",  -- INDEX 463
571
        B"01111100" when B"0111010000",  -- INDEX 464
572
        B"01100110" when B"0111010001",  -- INDEX 465
573
        B"01000000" when B"0111010010",  -- INDEX 466
574
        B"00010001" when B"0111010011",  -- INDEX 467
575
        B"11011110" when B"0111010100",  -- INDEX 468
576
        B"10110001" when B"0111010101",  -- INDEX 469
577
        B"10010000" when B"0111010110",  -- INDEX 470
578
        B"10000001" when B"0111010111",  -- INDEX 471
579
        B"10000101" when B"0111011000",  -- INDEX 472
580
        B"10011100" when B"0111011001",  -- INDEX 473
581
        B"11000011" when B"0111011010",  -- INDEX 474
582
        B"11110011" when B"0111011011",  -- INDEX 475
583
        B"00100110" when B"0111011100",  -- INDEX 476
584
        B"01010010" when B"0111011101",  -- INDEX 477
585
        B"01110010" when B"0111011110",  -- INDEX 478
586
        B"01111111" when B"0111011111",  -- INDEX 479
587
        B"01111010" when B"0111100000",  -- INDEX 480
588
        B"01100001" when B"0111100001",  -- INDEX 481
589
        B"00111001" when B"0111100010",  -- INDEX 482
590
        B"00001001" when B"0111100011",  -- INDEX 483
591
        B"11010111" when B"0111100100",  -- INDEX 484
592
        B"10101011" when B"0111100101",  -- INDEX 485
593
        B"10001101" when B"0111100110",  -- INDEX 486
594
        B"10000000" when B"0111100111",  -- INDEX 487
595
        B"10001000" when B"0111101000",  -- INDEX 488
596
        B"10100010" when B"0111101001",  -- INDEX 489
597
        B"11001010" when B"0111101010",  -- INDEX 490
598
        B"11111011" when B"0111101011",  -- INDEX 491
599
        B"00101101" when B"0111101100",  -- INDEX 492
600
        B"01011000" when B"0111101101",  -- INDEX 493
601
        B"01110101" when B"0111101110",  -- INDEX 494
602
        B"01111111" when B"0111101111",  -- INDEX 495
603
        B"01110111" when B"0111110000",  -- INDEX 496
604
        B"01011100" when B"0111110001",  -- INDEX 497
605
        B"00110010" when B"0111110010",  -- INDEX 498
606
        B"00000001" when B"0111110011",  -- INDEX 499
607
        B"11001111" when B"0111110100",  -- INDEX 500
608
        B"10100101" when B"0111110101",  -- INDEX 501
609
        B"10001001" when B"0111110110",  -- INDEX 502
610
        B"10000000" when B"0111110111",  -- INDEX 503
611
        B"10001011" when B"0111111000",  -- INDEX 504
612
        B"10100111" when B"0111111001",  -- INDEX 505
613
        B"11010010" when B"0111111010",  -- INDEX 506
614
        B"00000100" when B"0111111011",  -- INDEX 507
615
        B"00110101" when B"0111111100",  -- INDEX 508
616
        B"01011110" when B"0111111101",  -- INDEX 509
617
        B"01111000" when B"0111111110",  -- INDEX 510
618
        B"01111111" when B"0111111111",  -- INDEX 511
619
        B"01110100" when B"1000000000",  -- INDEX 512
620
        B"01010110" when B"1000000001",  -- INDEX 513
621
        B"00101010" when B"1000000010",  -- INDEX 514
622
        B"11111000" when B"1000000011",  -- INDEX 515
623
        B"11001000" when B"1000000100",  -- INDEX 516
624
        B"10100000" when B"1000000101",  -- INDEX 517
625
        B"10000111" when B"1000000110",  -- INDEX 518
626
        B"10000000" when B"1000000111",  -- INDEX 519
627
        B"10001110" when B"1000001000",  -- INDEX 520
628
        B"10101101" when B"1000001001",  -- INDEX 521
629
        B"11011001" when B"1000001010",  -- INDEX 522
630
        B"00001100" when B"1000001011",  -- INDEX 523
631
        B"00111100" when B"1000001100",  -- INDEX 524
632
        B"01100011" when B"1000001101",  -- INDEX 525
633
        B"01111011" when B"1000001110",  -- INDEX 526
634
        B"01111111" when B"1000001111",  -- INDEX 527
635
        B"01110000" when B"1000010000",  -- INDEX 528
636
        B"01010000" when B"1000010001",  -- INDEX 529
637
        B"00100011" when B"1000010010",  -- INDEX 530
638
        B"11110000" when B"1000010011",  -- INDEX 531
639
        B"11000001" when B"1000010100",  -- INDEX 532
640
        B"10011011" when B"1000010101",  -- INDEX 533
641
        B"10000100" when B"1000010110",  -- INDEX 534
642
        B"10000001" when B"1000010111",  -- INDEX 535
643
        B"10010010" when B"1000011000",  -- INDEX 536
644
        B"10110100" when B"1000011001",  -- INDEX 537
645
        B"11100001" when B"1000011010",  -- INDEX 538
646
        B"00010100" when B"1000011011",  -- INDEX 539
647
        B"01000011" when B"1000011100",  -- INDEX 540
648
        B"01101000" when B"1000011101",  -- INDEX 541
649
        B"01111101" when B"1000011110",  -- INDEX 542
650
        B"01111110" when B"1000011111",  -- INDEX 543
651
        B"01101100" when B"1000100000",  -- INDEX 544
652
        B"01001001" when B"1000100001",  -- INDEX 545
653
        B"00011011" when B"1000100010",  -- INDEX 546
654
        B"11101001" when B"1000100011",  -- INDEX 547
655
        B"10111010" when B"1000100100",  -- INDEX 548
656
        B"10010110" when B"1000100101",  -- INDEX 549
657
        B"10000010" when B"1000100110",  -- INDEX 550
658
        B"10000011" when B"1000100111",  -- INDEX 551
659
        B"10010110" when B"1000101000",  -- INDEX 552
660
        B"10111010" when B"1000101001",  -- INDEX 553
661
        B"11101001" when B"1000101010",  -- INDEX 554
662
        B"00011011" when B"1000101011",  -- INDEX 555
663
        B"01001010" when B"1000101100",  -- INDEX 556
664
        B"01101100" when B"1000101101",  -- INDEX 557
665
        B"01111110" when B"1000101110",  -- INDEX 558
666
        B"01111101" when B"1000101111",  -- INDEX 559
667
        B"01101000" when B"1000110000",  -- INDEX 560
668
        B"01000010" when B"1000110001",  -- INDEX 561
669
        B"00010011" when B"1000110010",  -- INDEX 562
670
        B"11100001" when B"1000110011",  -- INDEX 563
671
        B"10110011" when B"1000110100",  -- INDEX 564
672
        B"10010010" when B"1000110101",  -- INDEX 565
673
        B"10000001" when B"1000110110",  -- INDEX 566
674
        B"10000100" when B"1000110111",  -- INDEX 567
675
        B"10011011" when B"1000111000",  -- INDEX 568
676
        B"11000001" when B"1000111001",  -- INDEX 569
677
        B"11110001" when B"1000111010",  -- INDEX 570
678
        B"00100011" when B"1000111011",  -- INDEX 571
679
        B"01010000" when B"1000111100",  -- INDEX 572
680
        B"01110000" when B"1000111101",  -- INDEX 573
681
        B"01111111" when B"1000111110",  -- INDEX 574
682
        B"01111010" when B"1000111111",  -- INDEX 575
683
        B"01100011" when B"1001000000",  -- INDEX 576
684
        B"00111011" when B"1001000001",  -- INDEX 577
685
        B"00001011" when B"1001000010",  -- INDEX 578
686
        B"11011001" when B"1001000011",  -- INDEX 579
687
        B"10101101" when B"1001000100",  -- INDEX 580
688
        B"10001110" when B"1001000101",  -- INDEX 581
689
        B"10000000" when B"1001000110",  -- INDEX 582
690
        B"10000111" when B"1001000111",  -- INDEX 583
691
        B"10100000" when B"1001001000",  -- INDEX 584
692
        B"11001000" when B"1001001001",  -- INDEX 585
693
        B"11111001" when B"1001001010",  -- INDEX 586
694
        B"00101011" when B"1001001011",  -- INDEX 587
695
        B"01010110" when B"1001001100",  -- INDEX 588
696
        B"01110100" when B"1001001101",  -- INDEX 589
697
        B"01111111" when B"1001001110",  -- INDEX 590
698
        B"01111000" when B"1001001111",  -- INDEX 591
699
        B"01011101" when B"1001010000",  -- INDEX 592
700
        B"00110100" when B"1001010001",  -- INDEX 593
701
        B"00000011" when B"1001010010",  -- INDEX 594
702
        B"11010001" when B"1001010011",  -- INDEX 595
703
        B"10100111" when B"1001010100",  -- INDEX 596
704
        B"10001010" when B"1001010101",  -- INDEX 597
705
        B"10000000" when B"1001010110",  -- INDEX 598
706
        B"10001010" when B"1001010111",  -- INDEX 599
707
        B"10100101" when B"1001011000",  -- INDEX 600
708
        B"11001111" when B"1001011001",  -- INDEX 601
709
        B"11111111" when B"1001011010",  -- INDEX 602
710
        B"00110000" when B"1001011011",  -- INDEX 603
711
        B"01011001" when B"1001011100",  -- INDEX 604
712
        B"01110101" when B"1001011101",  -- INDEX 605
713
        B"01111111" when B"1001011110",  -- INDEX 606
714
        B"01111000" when B"1001011111",  -- INDEX 607
715
        B"01011101" when B"1001100000",  -- INDEX 608
716
        B"00110101" when B"1001100001",  -- INDEX 609
717
        B"00000101" when B"1001100010",  -- INDEX 610
718
        B"11010100" when B"1001100011",  -- INDEX 611
719
        B"10101010" when B"1001100100",  -- INDEX 612
720
        B"10001100" when B"1001100101",  -- INDEX 613
721
        B"10000000" when B"1001100110",  -- INDEX 614
722
        B"10000111" when B"1001100111",  -- INDEX 615
723
        B"10100000" when B"1001101000",  -- INDEX 616
724
        B"11000111" when B"1001101001",  -- INDEX 617
725
        B"11110111" when B"1001101010",  -- INDEX 618
726
        B"00101000" when B"1001101011",  -- INDEX 619
727
        B"01010011" when B"1001101100",  -- INDEX 620
728
        B"01110010" when B"1001101101",  -- INDEX 621
729
        B"01111111" when B"1001101110",  -- INDEX 622
730
        B"01111010" when B"1001101111",  -- INDEX 623
731
        B"01100011" when B"1001110000",  -- INDEX 624
732
        B"00111100" when B"1001110001",  -- INDEX 625
733
        B"00001101" when B"1001110010",  -- INDEX 626
734
        B"11011100" when B"1001110011",  -- INDEX 627
735
        B"10110000" when B"1001110100",  -- INDEX 628
736
        B"10010000" when B"1001110101",  -- INDEX 629
737
        B"10000001" when B"1001110110",  -- INDEX 630
738
        B"10000101" when B"1001110111",  -- INDEX 631
739
        B"10011011" when B"1001111000",  -- INDEX 632
740
        B"11000000" when B"1001111001",  -- INDEX 633
741
        B"11101111" when B"1001111010",  -- INDEX 634
742
        B"00100000" when B"1001111011",  -- INDEX 635
743
        B"01001101" when B"1001111100",  -- INDEX 636
744
        B"01101110" when B"1001111101",  -- INDEX 637
745
        B"01111111" when B"1001111110",  -- INDEX 638
746
        B"01111100" when B"1001111111",  -- INDEX 639
747
        B"01101000" when B"1010000000",  -- INDEX 640
748
        B"01000011" when B"1010000001",  -- INDEX 641
749
        B"00010101" when B"1010000010",  -- INDEX 642
750
        B"11100100" when B"1010000011",  -- INDEX 643
751
        B"10110110" when B"1010000100",  -- INDEX 644
752
        B"10010100" when B"1010000101",  -- INDEX 645
753
        B"10000010" when B"1010000110",  -- INDEX 646
754
        B"10000011" when B"1010000111",  -- INDEX 647
755
        B"10010110" when B"1010001000",  -- INDEX 648
756
        B"10111001" when B"1010001001",  -- INDEX 649
757
        B"11100111" when B"1010001010",  -- INDEX 650
758
        B"00011000" when B"1010001011",  -- INDEX 651
759
        B"01000110" when B"1010001100",  -- INDEX 652
760
        B"01101010" when B"1010001101",  -- INDEX 653
761
        B"01111101" when B"1010001110",  -- INDEX 654
762
        B"01111110" when B"1010001111",  -- INDEX 655
763
        B"01101100" when B"1010010000",  -- INDEX 656
764
        B"01001010" when B"1010010001",  -- INDEX 657
765
        B"00011101" when B"1010010010",  -- INDEX 658
766
        B"11101011" when B"1010010011",  -- INDEX 659
767
        B"10111101" when B"1010010100",  -- INDEX 660
768
        B"10011001" when B"1010010101",  -- INDEX 661
769
        B"10000100" when B"1010010110",  -- INDEX 662
770
        B"10000001" when B"1010010111",  -- INDEX 663
771
        B"10010010" when B"1010011000",  -- INDEX 664
772
        B"10110011" when B"1010011001",  -- INDEX 665
773
        B"11011111" when B"1010011010",  -- INDEX 666
774
        B"00010001" when B"1010011011",  -- INDEX 667
775
        B"00111111" when B"1010011100",  -- INDEX 668
776
        B"01100101" when B"1010011101",  -- INDEX 669
777
        B"01111011" when B"1010011110",  -- INDEX 670
778
        B"01111111" when B"1010011111",  -- INDEX 671
779
        B"01110000" when B"1010100000",  -- INDEX 672
780
        B"01010000" when B"1010100001",  -- INDEX 673
781
        B"00100101" when B"1010100010",  -- INDEX 674
782
        B"11110011" when B"1010100011",  -- INDEX 675
783
        B"11000100" when B"1010100100",  -- INDEX 676
784
        B"10011110" when B"1010100101",  -- INDEX 677
785
        B"10000110" when B"1010100110",  -- INDEX 678
786
        B"10000000" when B"1010100111",  -- INDEX 679
787
        B"10001110" when B"1010101000",  -- INDEX 680
788
        B"10101100" when B"1010101001",  -- INDEX 681
789
        B"11010111" when B"1010101010",  -- INDEX 682
790
        B"00001001" when B"1010101011",  -- INDEX 683
791
        B"00111000" when B"1010101100",  -- INDEX 684
792
        B"01100000" when B"1010101101",  -- INDEX 685
793
        B"01111001" when B"1010101110",  -- INDEX 686
794
        B"01111111" when B"1010101111",  -- INDEX 687
795
        B"01110100" when B"1010110000",  -- INDEX 688
796
        B"01010111" when B"1010110001",  -- INDEX 689
797
        B"00101100" when B"1010110010",  -- INDEX 690
798
        B"11111011" when B"1010110011",  -- INDEX 691
799
        B"11001011" when B"1010110100",  -- INDEX 692
800
        B"10100011" when B"1010110101",  -- INDEX 693
801
        B"10001001" when B"1010110110",  -- INDEX 694
802
        B"10000000" when B"1010110111",  -- INDEX 695
803
        B"10001011" when B"1010111000",  -- INDEX 696
804
        B"10100111" when B"1010111001",  -- INDEX 697
805
        B"11010000" when B"1010111010",  -- INDEX 698
806
        B"00000001" when B"1010111011",  -- INDEX 699
807
        B"00110001" when B"1010111100",  -- INDEX 700
808
        B"01011010" when B"1010111101",  -- INDEX 701
809
        B"01110110" when B"1010111110",  -- INDEX 702
810
        B"01111111" when B"1010111111",  -- INDEX 703
811
        B"01110111" when B"1011000000",  -- INDEX 704
812
        B"01011100" when B"1011000001",  -- INDEX 705
813
        B"00110100" when B"1011000010",  -- INDEX 706
814
        B"00000100" when B"1011000011",  -- INDEX 707
815
        B"11010011" when B"1011000100",  -- INDEX 708
816
        B"10101001" when B"1011000101",  -- INDEX 709
817
        B"10001100" when B"1011000110",  -- INDEX 710
818
        B"10000000" when B"1011000111",  -- INDEX 711
819
        B"10001000" when B"1011001000",  -- INDEX 712
820
        B"10100001" when B"1011001001",  -- INDEX 713
821
        B"11001001" when B"1011001010",  -- INDEX 714
822
        B"11111000" when B"1011001011",  -- INDEX 715
823
        B"00101001" when B"1011001100",  -- INDEX 716
824
        B"01010100" when B"1011001101",  -- INDEX 717
825
        B"01110011" when B"1011001110",  -- INDEX 718
826
        B"01111111" when B"1011001111",  -- INDEX 719
827
        B"01111010" when B"1011010000",  -- INDEX 720
828
        B"01100010" when B"1011010001",  -- INDEX 721
829
        B"00111011" when B"1011010010",  -- INDEX 722
830
        B"00001100" when B"1011010011",  -- INDEX 723
831
        B"11011010" when B"1011010100",  -- INDEX 724
832
        B"10101111" when B"1011010101",  -- INDEX 725
833
        B"10001111" when B"1011010110",  -- INDEX 726
834
        B"10000001" when B"1011010111",  -- INDEX 727
835
        B"10000101" when B"1011011000",  -- INDEX 728
836
        B"10011100" when B"1011011001",  -- INDEX 729
837
        B"11000001" when B"1011011010",  -- INDEX 730
838
        B"11110000" when B"1011011011",  -- INDEX 731
839
        B"00100010" when B"1011011100",  -- INDEX 732
840
        B"01001110" when B"1011011101",  -- INDEX 733
841
        B"01101111" when B"1011011110",  -- INDEX 734
842
        B"01111111" when B"1011011111",  -- INDEX 735
843
        B"01111100" when B"1011100000",  -- INDEX 736
844
        B"01100111" when B"1011100001",  -- INDEX 737
845
        B"01000010" when B"1011100010",  -- INDEX 738
846
        B"00010100" when B"1011100011",  -- INDEX 739
847
        B"11100010" when B"1011100100",  -- INDEX 740
848
        B"10110101" when B"1011100101",  -- INDEX 741
849
        B"10010011" when B"1011100110",  -- INDEX 742
850
        B"10000010" when B"1011100111",  -- INDEX 743
851
        B"10000011" when B"1011101000",  -- INDEX 744
852
        B"10010111" when B"1011101001",  -- INDEX 745
853
        B"10111011" when B"1011101010",  -- INDEX 746
854
        B"11101001" when B"1011101011",  -- INDEX 747
855
        B"00011010" when B"1011101100",  -- INDEX 748
856
        B"01001000" when B"1011101101",  -- INDEX 749
857
        B"01101010" when B"1011101110",  -- INDEX 750
858
        B"01111101" when B"1011101111",  -- INDEX 751
859
        B"01111110" when B"1011110000",  -- INDEX 752
860
        B"01101011" when B"1011110001",  -- INDEX 753
861
        B"01001001" when B"1011110010",  -- INDEX 754
862
        B"00011011" when B"1011110011",  -- INDEX 755
863
        B"11101010" when B"1011110100",  -- INDEX 756
864
        B"10111100" when B"1011110101",  -- INDEX 757
865
        B"10011000" when B"1011110110",  -- INDEX 758
866
        B"10000011" when B"1011110111",  -- INDEX 759
867
        B"10000010" when B"1011111000",  -- INDEX 760
868
        B"10010011" when B"1011111001",  -- INDEX 761
869
        B"10110100" when B"1011111010",  -- INDEX 762
870
        B"11100001" when B"1011111011",  -- INDEX 763
871
        B"00010010" when B"1011111100",  -- INDEX 764
872
        B"01000001" when B"1011111101",  -- INDEX 765
873
        B"01100110" when B"1011111110",  -- INDEX 766
874
        B"01111100" when B"1011111111",  -- INDEX 767
875
        B"01111111" when B"1100000000",  -- INDEX 768
876
        B"01101111" when B"1100000001",  -- INDEX 769
877
        B"01001111" when B"1100000010",  -- INDEX 770
878
        B"00100011" when B"1100000011",  -- INDEX 771
879
        B"11110010" when B"1100000100",  -- INDEX 772
880
        B"11000011" when B"1100000101",  -- INDEX 773
881
        B"10011101" when B"1100000110",  -- INDEX 774
882
        B"10000110" when B"1100000111",  -- INDEX 775
883
        B"10000001" when B"1100001000",  -- INDEX 776
884
        B"10001111" when B"1100001001",  -- INDEX 777
885
        B"10101110" when B"1100001010",  -- INDEX 778
886
        B"11011001" when B"1100001011",  -- INDEX 779
887
        B"00001010" when B"1100001100",  -- INDEX 780
888
        B"00111010" when B"1100001101",  -- INDEX 781
889
        B"01100001" when B"1100001110",  -- INDEX 782
890
        B"01111001" when B"1100001111",  -- INDEX 783
891
        B"01111111" when B"1100010000",  -- INDEX 784
892
        B"01110011" when B"1100010001",  -- INDEX 785
893
        B"01010101" when B"1100010010",  -- INDEX 786
894
        B"00101011" when B"1100010011",  -- INDEX 787
895
        B"11111010" when B"1100010100",  -- INDEX 788
896
        B"11001010" when B"1100010101",  -- INDEX 789
897
        B"10100010" when B"1100010110",  -- INDEX 790
898
        B"10001000" when B"1100010111",  -- INDEX 791
899
        B"10000000" when B"1100011000",  -- INDEX 792
900
        B"10001011" when B"1100011001",  -- INDEX 793
901
        B"10101000" when B"1100011010",  -- INDEX 794
902
        B"11010001" when B"1100011011",  -- INDEX 795
903
        B"00000010" when B"1100011100",  -- INDEX 796
904
        B"00110010" when B"1100011101",  -- INDEX 797
905
        B"01011011" when B"1100011110",  -- INDEX 798
906
        B"01110110" when B"1100011111",  -- INDEX 799
907
        B"01111111" when B"1100100000",  -- INDEX 800
908
        B"01110110" when B"1100100001",  -- INDEX 801
909
        B"01011010" when B"1100100010",  -- INDEX 802
910
        B"00110000" when B"1100100011",  -- INDEX 803
911
        B"11111110" when B"1100100100",  -- INDEX 804
912
        B"11001101" when B"1100100101",  -- INDEX 805
913
        B"10100011" when B"1100100110",  -- INDEX 806
914
        B"10001000" when B"1100100111",  -- INDEX 807
915
        B"10000000" when B"1100101000",  -- INDEX 808
916
        B"10001100" when B"1100101001",  -- INDEX 809
917
        B"10101001" when B"1100101010",  -- INDEX 810
918
        B"11010100" when B"1100101011",  -- INDEX 811
919
        B"00000110" when B"1100101100",  -- INDEX 812
920
        B"00110111" when B"1100101101",  -- INDEX 813
921
        B"01011111" when B"1100101110",  -- INDEX 814
922
        B"01111001" when B"1100101111",  -- INDEX 815
923
        B"01111111" when B"1100110000",  -- INDEX 816
924
        B"01110011" when B"1100110001",  -- INDEX 817
925
        B"01010100" when B"1100110010",  -- INDEX 818
926
        B"00101000" when B"1100110011",  -- INDEX 819
927
        B"11110110" when B"1100110100",  -- INDEX 820
928
        B"11000101" when B"1100110101",  -- INDEX 821
929
        B"10011110" when B"1100110110",  -- INDEX 822
930
        B"10000110" when B"1100110111",  -- INDEX 823
931
        B"10000001" when B"1100111000",  -- INDEX 824
932
        B"10001111" when B"1100111001",  -- INDEX 825
933
        B"10101111" when B"1100111010",  -- INDEX 826
934
        B"11011100" when B"1100111011",  -- INDEX 827
935
        B"00001110" when B"1100111100",  -- INDEX 828
936
        B"00111110" when B"1100111101",  -- INDEX 829
937
        B"01100101" when B"1100111110",  -- INDEX 830
938
        B"01111011" when B"1100111111",  -- INDEX 831
939
        B"01111111" when B"1101000000",  -- INDEX 832
940
        B"01101111" when B"1101000001",  -- INDEX 833
941
        B"01001110" when B"1101000010",  -- INDEX 834
942
        B"00100000" when B"1101000011",  -- INDEX 835
943
        B"11101110" when B"1101000100",  -- INDEX 836
944
        B"10111110" when B"1101000101",  -- INDEX 837
945
        B"10011001" when B"1101000110",  -- INDEX 838
946
        B"10000100" when B"1101000111",  -- INDEX 839
947
        B"10000010" when B"1101001000",  -- INDEX 840
948
        B"10010011" when B"1101001001",  -- INDEX 841
949
        B"10110110" when B"1101001010",  -- INDEX 842
950
        B"11100100" when B"1101001011",  -- INDEX 843
951
        B"00010110" when B"1101001100",  -- INDEX 844
952
        B"01000101" when B"1101001101",  -- INDEX 845
953
        B"01101001" when B"1101001110",  -- INDEX 846
954
        B"01111101" when B"1101001111",  -- INDEX 847
955
        B"01111110" when B"1101010000",  -- INDEX 848
956
        B"01101011" when B"1101010001",  -- INDEX 849
957
        B"01000111" when B"1101010010",  -- INDEX 850
958
        B"00011000" when B"1101010011",  -- INDEX 851
959
        B"11100110" when B"1101010100",  -- INDEX 852
960
        B"10111000" when B"1101010101",  -- INDEX 853
961
        B"10010100" when B"1101010110",  -- INDEX 854
962
        B"10000010" when B"1101010111",  -- INDEX 855
963
        B"10000011" when B"1101011000",  -- INDEX 856
964
        B"10011000" when B"1101011001",  -- INDEX 857
965
        B"10111100" when B"1101011010",  -- INDEX 858
966
        B"11101011" when B"1101011011",  -- INDEX 859
967
        B"00011110" when B"1101011100",  -- INDEX 860
968
        B"01001100" when B"1101011101",  -- INDEX 861
969
        B"01101110" when B"1101011110",  -- INDEX 862
970
        B"01111111" when B"1101011111",  -- INDEX 863
971
        B"01111100" when B"1101100000",  -- INDEX 864
972
        B"01100110" when B"1101100001",  -- INDEX 865
973
        B"01000000" when B"1101100010",  -- INDEX 866
974
        B"00010001" when B"1101100011",  -- INDEX 867
975
        B"11011110" when B"1101100100",  -- INDEX 868
976
        B"10110001" when B"1101100101",  -- INDEX 869
977
        B"10010000" when B"1101100110",  -- INDEX 870
978
        B"10000001" when B"1101100111",  -- INDEX 871
979
        B"10000101" when B"1101101000",  -- INDEX 872
980
        B"10011100" when B"1101101001",  -- INDEX 873
981
        B"11000011" when B"1101101010",  -- INDEX 874
982
        B"11110011" when B"1101101011",  -- INDEX 875
983
        B"00100110" when B"1101101100",  -- INDEX 876
984
        B"01010010" when B"1101101101",  -- INDEX 877
985
        B"01110010" when B"1101101110",  -- INDEX 878
986
        B"01111111" when B"1101101111",  -- INDEX 879
987
        B"01111010" when B"1101110000",  -- INDEX 880
988
        B"01100001" when B"1101110001",  -- INDEX 881
989
        B"00111001" when B"1101110010",  -- INDEX 882
990
        B"00001001" when B"1101110011",  -- INDEX 883
991
        B"11010111" when B"1101110100",  -- INDEX 884
992
        B"10101011" when B"1101110101",  -- INDEX 885
993
        B"10001101" when B"1101110110",  -- INDEX 886
994
        B"10000000" when B"1101110111",  -- INDEX 887
995
        B"10001000" when B"1101111000",  -- INDEX 888
996
        B"10100010" when B"1101111001",  -- INDEX 889
997
        B"11001010" when B"1101111010",  -- INDEX 890
998
        B"11111011" when B"1101111011",  -- INDEX 891
999
        B"00101101" when B"1101111100",  -- INDEX 892
1000
        B"01011000" when B"1101111101",  -- INDEX 893
1001
        B"01110101" when B"1101111110",  -- INDEX 894
1002
        B"01111111" when B"1101111111",  -- INDEX 895
1003
        B"01110111" when B"1110000000",  -- INDEX 896
1004
        B"01011100" when B"1110000001",  -- INDEX 897
1005
        B"00110010" when B"1110000010",  -- INDEX 898
1006
        B"00000001" when B"1110000011",  -- INDEX 899
1007
        B"11001111" when B"1110000100",  -- INDEX 900
1008
        B"10100101" when B"1110000101",  -- INDEX 901
1009
        B"10001001" when B"1110000110",  -- INDEX 902
1010
        B"10000000" when B"1110000111",  -- INDEX 903
1011
        B"10001011" when B"1110001000",  -- INDEX 904
1012
        B"10100111" when B"1110001001",  -- INDEX 905
1013
        B"11010010" when B"1110001010",  -- INDEX 906
1014
        B"00000100" when B"1110001011",  -- INDEX 907
1015
        B"00110101" when B"1110001100",  -- INDEX 908
1016
        B"01011110" when B"1110001101",  -- INDEX 909
1017
        B"01111000" when B"1110001110",  -- INDEX 910
1018
        B"01111111" when B"1110001111",  -- INDEX 911
1019
        B"01110100" when B"1110010000",  -- INDEX 912
1020
        B"01010110" when B"1110010001",  -- INDEX 913
1021
        B"00101010" when B"1110010010",  -- INDEX 914
1022
        B"11111000" when B"1110010011",  -- INDEX 915
1023
        B"11001000" when B"1110010100",  -- INDEX 916
1024
        B"10100000" when B"1110010101",  -- INDEX 917
1025
        B"10000111" when B"1110010110",  -- INDEX 918
1026
        B"10000000" when B"1110010111",  -- INDEX 919
1027
        B"10001110" when B"1110011000",  -- INDEX 920
1028
        B"10101101" when B"1110011001",  -- INDEX 921
1029
        B"11011001" when B"1110011010",  -- INDEX 922
1030
        B"00001100" when B"1110011011",  -- INDEX 923
1031
        B"00111100" when B"1110011100",  -- INDEX 924
1032
        B"01100011" when B"1110011101",  -- INDEX 925
1033
        B"01111011" when B"1110011110",  -- INDEX 926
1034
        B"01111111" when B"1110011111",  -- INDEX 927
1035
        B"01110000" when B"1110100000",  -- INDEX 928
1036
        B"01010000" when B"1110100001",  -- INDEX 929
1037
        B"00100011" when B"1110100010",  -- INDEX 930
1038
        B"11110000" when B"1110100011",  -- INDEX 931
1039
        B"11000001" when B"1110100100",  -- INDEX 932
1040
        B"10011011" when B"1110100101",  -- INDEX 933
1041
        B"10000100" when B"1110100110",  -- INDEX 934
1042
        B"10000001" when B"1110100111",  -- INDEX 935
1043
        B"10010010" when B"1110101000",  -- INDEX 936
1044
        B"10110100" when B"1110101001",  -- INDEX 937
1045
        B"11100001" when B"1110101010",  -- INDEX 938
1046
        B"00010100" when B"1110101011",  -- INDEX 939
1047
        B"01000011" when B"1110101100",  -- INDEX 940
1048
        B"01101000" when B"1110101101",  -- INDEX 941
1049
        B"01111101" when B"1110101110",  -- INDEX 942
1050
        B"01111110" when B"1110101111",  -- INDEX 943
1051
        B"01101100" when B"1110110000",  -- INDEX 944
1052
        B"01001001" when B"1110110001",  -- INDEX 945
1053
        B"00011011" when B"1110110010",  -- INDEX 946
1054
        B"11101001" when B"1110110011",  -- INDEX 947
1055
        B"10111010" when B"1110110100",  -- INDEX 948
1056
        B"10010110" when B"1110110101",  -- INDEX 949
1057
        B"10000010" when B"1110110110",  -- INDEX 950
1058
        B"10000011" when B"1110110111",  -- INDEX 951
1059
        B"10010110" when B"1110111000",  -- INDEX 952
1060
        B"10111010" when B"1110111001",  -- INDEX 953
1061
        B"11101001" when B"1110111010",  -- INDEX 954
1062
        B"00011011" when B"1110111011",  -- INDEX 955
1063
        B"01001010" when B"1110111100",  -- INDEX 956
1064
        B"01101100" when B"1110111101",  -- INDEX 957
1065
        B"01111110" when B"1110111110",  -- INDEX 958
1066
        B"01111101" when B"1110111111",  -- INDEX 959
1067
        B"01101000" when B"1111000000",  -- INDEX 960
1068
        B"01000010" when B"1111000001",  -- INDEX 961
1069
        B"00010011" when B"1111000010",  -- INDEX 962
1070
        B"11100001" when B"1111000011",  -- INDEX 963
1071
        B"10110011" when B"1111000100",  -- INDEX 964
1072
        B"10010010" when B"1111000101",  -- INDEX 965
1073
        B"10000001" when B"1111000110",  -- INDEX 966
1074
        B"10000100" when B"1111000111",  -- INDEX 967
1075
        B"10011011" when B"1111001000",  -- INDEX 968
1076
        B"11000001" when B"1111001001",  -- INDEX 969
1077
        B"11110001" when B"1111001010",  -- INDEX 970
1078
        B"00100011" when B"1111001011",  -- INDEX 971
1079
        B"01010000" when B"1111001100",  -- INDEX 972
1080
        B"01110000" when B"1111001101",  -- INDEX 973
1081
        B"01111111" when B"1111001110",  -- INDEX 974
1082
        B"01111010" when B"1111001111",  -- INDEX 975
1083
        B"01100011" when B"1111010000",  -- INDEX 976
1084
        B"00111011" when B"1111010001",  -- INDEX 977
1085
        B"00001011" when B"1111010010",  -- INDEX 978
1086
        B"11011001" when B"1111010011",  -- INDEX 979
1087
        B"10101101" when B"1111010100",  -- INDEX 980
1088
        B"10001110" when B"1111010101",  -- INDEX 981
1089
        B"10000000" when B"1111010110",  -- INDEX 982
1090
        B"10000111" when B"1111010111",  -- INDEX 983
1091
        B"10100000" when B"1111011000",  -- INDEX 984
1092
        B"11001000" when B"1111011001",  -- INDEX 985
1093
        B"11111001" when B"1111011010",  -- INDEX 986
1094
        B"00101011" when B"1111011011",  -- INDEX 987
1095
        B"01010110" when B"1111011100",  -- INDEX 988
1096
        B"01110100" when B"1111011101",  -- INDEX 989
1097
        B"01111111" when B"1111011110",  -- INDEX 990
1098
        B"01111000" when B"1111011111",  -- INDEX 991
1099
        B"01011101" when B"1111100000",  -- INDEX 992
1100
        B"00110100" when B"1111100001",  -- INDEX 993
1101
        B"00000011" when B"1111100010",  -- INDEX 994
1102
        B"11010001" when B"1111100011",  -- INDEX 995
1103
        B"10100111" when B"1111100100",  -- INDEX 996
1104
        B"10001010" when B"1111100101",  -- INDEX 997
1105
        B"10000000" when B"1111100110",  -- INDEX 998
1106
        B"10001010" when B"1111100111",  -- INDEX 999
1107
 
1108
-- END INPUT FM SIGNAL
1109
        B"00000000" when others;
1110
 
1111
        with counter (09 downto 0) select
1112
        test_signal_fmTri_int <=
1113
-- START INPUT FM-TRI SIGNAL
1114
 
1115
        B"01111111" when B"0000000000",  -- INDEX 0
1116
        B"01110110" when B"0000000001",  -- INDEX 1
1117
        B"01011011" when B"0000000010",  -- INDEX 2
1118
        B"00110010" when B"0000000011",  -- INDEX 3
1119
        B"00000010" when B"0000000100",  -- INDEX 4
1120
        B"11010001" when B"0000000101",  -- INDEX 5
1121
        B"10101000" when B"0000000110",  -- INDEX 6
1122
        B"10001011" when B"0000000111",  -- INDEX 7
1123
        B"10000000" when B"0000001000",  -- INDEX 8
1124
        B"10001000" when B"0000001001",  -- INDEX 9
1125
        B"10100010" when B"0000001010",  -- INDEX 10
1126
        B"11001010" when B"0000001011",  -- INDEX 11
1127
        B"11111010" when B"0000001100",  -- INDEX 12
1128
        B"00101011" when B"0000001101",  -- INDEX 13
1129
        B"01010110" when B"0000001110",  -- INDEX 14
1130
        B"01110011" when B"0000001111",  -- INDEX 15
1131
        B"01111111" when B"0000010000",  -- INDEX 16
1132
        B"01111001" when B"0000010001",  -- INDEX 17
1133
        B"01100000" when B"0000010010",  -- INDEX 18
1134
        B"00111001" when B"0000010011",  -- INDEX 19
1135
        B"00001001" when B"0000010100",  -- INDEX 20
1136
        B"11011000" when B"0000010101",  -- INDEX 21
1137
        B"10101101" when B"0000010110",  -- INDEX 22
1138
        B"10001110" when B"0000010111",  -- INDEX 23
1139
        B"10000000" when B"0000011000",  -- INDEX 24
1140
        B"10000110" when B"0000011001",  -- INDEX 25
1141
        B"10011110" when B"0000011010",  -- INDEX 26
1142
        B"11000100" when B"0000011011",  -- INDEX 27
1143
        B"11110100" when B"0000011100",  -- INDEX 28
1144
        B"00100101" when B"0000011101",  -- INDEX 29
1145
        B"01010001" when B"0000011110",  -- INDEX 30
1146
        B"01110001" when B"0000011111",  -- INDEX 31
1147
        B"01111111" when B"0000100000",  -- INDEX 32
1148
        B"01111011" when B"0000100001",  -- INDEX 33
1149
        B"01100100" when B"0000100010",  -- INDEX 34
1150
        B"00111110" when B"0000100011",  -- INDEX 35
1151
        B"00001111" when B"0000100100",  -- INDEX 36
1152
        B"11011101" when B"0000100101",  -- INDEX 37
1153
        B"10110001" when B"0000100110",  -- INDEX 38
1154
        B"10010001" when B"0000100111",  -- INDEX 39
1155
        B"10000001" when B"0000101000",  -- INDEX 40
1156
        B"10000100" when B"0000101001",  -- INDEX 41
1157
        B"10011010" when B"0000101010",  -- INDEX 42
1158
        B"11000000" when B"0000101011",  -- INDEX 43
1159
        B"11101111" when B"0000101100",  -- INDEX 44
1160
        B"00100000" when B"0000101101",  -- INDEX 45
1161
        B"01001101" when B"0000101110",  -- INDEX 46
1162
        B"01101110" when B"0000101111",  -- INDEX 47
1163
        B"01111111" when B"0000110000",  -- INDEX 48
1164
        B"01111100" when B"0000110001",  -- INDEX 49
1165
        B"01100111" when B"0000110010",  -- INDEX 50
1166
        B"01000010" when B"0000110011",  -- INDEX 51
1167
        B"00010011" when B"0000110100",  -- INDEX 52
1168
        B"11100010" when B"0000110101",  -- INDEX 53
1169
        B"10110101" when B"0000110110",  -- INDEX 54
1170
        B"10010011" when B"0000110111",  -- INDEX 55
1171
        B"10000010" when B"0000111000",  -- INDEX 56
1172
        B"10000011" when B"0000111001",  -- INDEX 57
1173
        B"10011000" when B"0000111010",  -- INDEX 58
1174
        B"10111100" when B"0000111011",  -- INDEX 59
1175
        B"11101011" when B"0000111100",  -- INDEX 60
1176
        B"00011101" when B"0000111101",  -- INDEX 61
1177
        B"01001010" when B"0000111110",  -- INDEX 62
1178
        B"01101100" when B"0000111111",  -- INDEX 63
1179
        B"01111110" when B"0001000000",  -- INDEX 64
1180
        B"01111101" when B"0001000001",  -- INDEX 65
1181
        B"01101001" when B"0001000010",  -- INDEX 66
1182
        B"01000101" when B"0001000011",  -- INDEX 67
1183
        B"00010111" when B"0001000100",  -- INDEX 68
1184
        B"11100101" when B"0001000101",  -- INDEX 69
1185
        B"10110111" when B"0001000110",  -- INDEX 70
1186
        B"10010101" when B"0001000111",  -- INDEX 71
1187
        B"10000010" when B"0001001000",  -- INDEX 72
1188
        B"10000011" when B"0001001001",  -- INDEX 73
1189
        B"10010110" when B"0001001010",  -- INDEX 74
1190
        B"10111010" when B"0001001011",  -- INDEX 75
1191
        B"11101000" when B"0001001100",  -- INDEX 76
1192
        B"00011010" when B"0001001101",  -- INDEX 77
1193
        B"01001000" when B"0001001110",  -- INDEX 78
1194
        B"01101011" when B"0001001111",  -- INDEX 79
1195
        B"01111110" when B"0001010000",  -- INDEX 80
1196
        B"01111101" when B"0001010001",  -- INDEX 81
1197
        B"01101010" when B"0001010010",  -- INDEX 82
1198
        B"01000111" when B"0001010011",  -- INDEX 83
1199
        B"00011001" when B"0001010100",  -- INDEX 84
1200
        B"11100111" when B"0001010101",  -- INDEX 85
1201
        B"10111001" when B"0001010110",  -- INDEX 86
1202
        B"10010101" when B"0001010111",  -- INDEX 87
1203
        B"10000010" when B"0001011000",  -- INDEX 88
1204
        B"10000010" when B"0001011001",  -- INDEX 89
1205
        B"10010110" when B"0001011010",  -- INDEX 90
1206
        B"10111001" when B"0001011011",  -- INDEX 91
1207
        B"11100111" when B"0001011100",  -- INDEX 92
1208
        B"00011001" when B"0001011101",  -- INDEX 93
1209
        B"01000111" when B"0001011110",  -- INDEX 94
1210
        B"01101010" when B"0001011111",  -- INDEX 95
1211
        B"01111110" when B"0001100000",  -- INDEX 96
1212
        B"01111110" when B"0001100001",  -- INDEX 97
1213
        B"01101011" when B"0001100010",  -- INDEX 98
1214
        B"01000111" when B"0001100011",  -- INDEX 99
1215
        B"00011001" when B"0001100100",  -- INDEX 100
1216
        B"11100111" when B"0001100101",  -- INDEX 101
1217
        B"10111001" when B"0001100110",  -- INDEX 102
1218
        B"10010110" when B"0001100111",  -- INDEX 103
1219
        B"10000011" when B"0001101000",  -- INDEX 104
1220
        B"10000010" when B"0001101001",  -- INDEX 105
1221
        B"10010101" when B"0001101010",  -- INDEX 106
1222
        B"10111001" when B"0001101011",  -- INDEX 107
1223
        B"11100111" when B"0001101100",  -- INDEX 108
1224
        B"00011001" when B"0001101101",  -- INDEX 109
1225
        B"01000111" when B"0001101110",  -- INDEX 110
1226
        B"01101010" when B"0001101111",  -- INDEX 111
1227
        B"01111110" when B"0001110000",  -- INDEX 112
1228
        B"01111110" when B"0001110001",  -- INDEX 113
1229
        B"01101010" when B"0001110010",  -- INDEX 114
1230
        B"01000111" when B"0001110011",  -- INDEX 115
1231
        B"00011001" when B"0001110100",  -- INDEX 116
1232
        B"11100111" when B"0001110101",  -- INDEX 117
1233
        B"10111000" when B"0001110110",  -- INDEX 118
1234
        B"10010101" when B"0001110111",  -- INDEX 119
1235
        B"10000010" when B"0001111000",  -- INDEX 120
1236
        B"10000011" when B"0001111001",  -- INDEX 121
1237
        B"10010110" when B"0001111010",  -- INDEX 122
1238
        B"10111010" when B"0001111011",  -- INDEX 123
1239
        B"11101000" when B"0001111100",  -- INDEX 124
1240
        B"00011010" when B"0001111101",  -- INDEX 125
1241
        B"01001000" when B"0001111110",  -- INDEX 126
1242
        B"01101011" when B"0001111111",  -- INDEX 127
1243
        B"01111110" when B"0010000000",  -- INDEX 128
1244
        B"01111101" when B"0010000001",  -- INDEX 129
1245
        B"01101001" when B"0010000010",  -- INDEX 130
1246
        B"01000101" when B"0010000011",  -- INDEX 131
1247
        B"00010111" when B"0010000100",  -- INDEX 132
1248
        B"11100101" when B"0010000101",  -- INDEX 133
1249
        B"10110111" when B"0010000110",  -- INDEX 134
1250
        B"10010100" when B"0010000111",  -- INDEX 135
1251
        B"10000010" when B"0010001000",  -- INDEX 136
1252
        B"10000011" when B"0010001001",  -- INDEX 137
1253
        B"10010111" when B"0010001010",  -- INDEX 138
1254
        B"10111100" when B"0010001011",  -- INDEX 139
1255
        B"11101011" when B"0010001100",  -- INDEX 140
1256
        B"00011101" when B"0010001101",  -- INDEX 141
1257
        B"01001010" when B"0010001110",  -- INDEX 142
1258
        B"01101101" when B"0010001111",  -- INDEX 143
1259
        B"01111110" when B"0010010000",  -- INDEX 144
1260
        B"01111101" when B"0010010001",  -- INDEX 145
1261
        B"01101000" when B"0010010010",  -- INDEX 146
1262
        B"01000011" when B"0010010011",  -- INDEX 147
1263
        B"00010100" when B"0010010100",  -- INDEX 148
1264
        B"11100010" when B"0010010101",  -- INDEX 149
1265
        B"10110100" when B"0010010110",  -- INDEX 150
1266
        B"10010010" when B"0010010111",  -- INDEX 151
1267
        B"10000001" when B"0010011000",  -- INDEX 152
1268
        B"10000100" when B"0010011001",  -- INDEX 153
1269
        B"10011010" when B"0010011010",  -- INDEX 154
1270
        B"10111111" when B"0010011011",  -- INDEX 155
1271
        B"11101110" when B"0010011100",  -- INDEX 156
1272
        B"00100001" when B"0010011101",  -- INDEX 157
1273
        B"01001110" when B"0010011110",  -- INDEX 158
1274
        B"01101111" when B"0010011111",  -- INDEX 159
1275
        B"01111111" when B"0010100000",  -- INDEX 160
1276
        B"01111011" when B"0010100001",  -- INDEX 161
1277
        B"01100101" when B"0010100010",  -- INDEX 162
1278
        B"00111111" when B"0010100011",  -- INDEX 163
1279
        B"00001111" when B"0010100100",  -- INDEX 164
1280
        B"11011101" when B"0010100101",  -- INDEX 165
1281
        B"10110000" when B"0010100110",  -- INDEX 166
1282
        B"10010000" when B"0010100111",  -- INDEX 167
1283
        B"10000001" when B"0010101000",  -- INDEX 168
1284
        B"10000101" when B"0010101001",  -- INDEX 169
1285
        B"10011101" when B"0010101010",  -- INDEX 170
1286
        B"11000011" when B"0010101011",  -- INDEX 171
1287
        B"11110011" when B"0010101100",  -- INDEX 172
1288
        B"00100110" when B"0010101101",  -- INDEX 173
1289
        B"01010010" when B"0010101110",  -- INDEX 174
1290
        B"01110001" when B"0010101111",  -- INDEX 175
1291
        B"01111111" when B"0010110000",  -- INDEX 176
1292
        B"01111010" when B"0010110001",  -- INDEX 177
1293
        B"01100001" when B"0010110010",  -- INDEX 178
1294
        B"00111010" when B"0010110011",  -- INDEX 179
1295
        B"00001001" when B"0010110100",  -- INDEX 180
1296
        B"11011000" when B"0010110101",  -- INDEX 181
1297
        B"10101100" when B"0010110110",  -- INDEX 182
1298
        B"10001101" when B"0010110111",  -- INDEX 183
1299
        B"10000000" when B"0010111000",  -- INDEX 184
1300
        B"10000111" when B"0010111001",  -- INDEX 185
1301
        B"10100001" when B"0010111010",  -- INDEX 186
1302
        B"11001001" when B"0010111011",  -- INDEX 187
1303
        B"11111010" when B"0010111100",  -- INDEX 188
1304
        B"00101100" when B"0010111101",  -- INDEX 189
1305
        B"01010111" when B"0010111110",  -- INDEX 190
1306
        B"01110100" when B"0010111111",  -- INDEX 191
1307
        B"01111111" when B"0011000000",  -- INDEX 192
1308
        B"01111000" when B"0011000001",  -- INDEX 193
1309
        B"01011101" when B"0011000010",  -- INDEX 194
1310
        B"00110100" when B"0011000011",  -- INDEX 195
1311
        B"00000010" when B"0011000100",  -- INDEX 196
1312
        B"11010001" when B"0011000101",  -- INDEX 197
1313
        B"10100111" when B"0011000110",  -- INDEX 198
1314
        B"10001010" when B"0011000111",  -- INDEX 199
1315
        B"10000000" when B"0011001000",  -- INDEX 200
1316
        B"10001010" when B"0011001001",  -- INDEX 201
1317
        B"10100110" when B"0011001010",  -- INDEX 202
1318
        B"11010000" when B"0011001011",  -- INDEX 203
1319
        B"00000001" when B"0011001100",  -- INDEX 204
1320
        B"00110011" when B"0011001101",  -- INDEX 205
1321
        B"01011100" when B"0011001110",  -- INDEX 206
1322
        B"01110111" when B"0011001111",  -- INDEX 207
1323
        B"01111111" when B"0011010000",  -- INDEX 208
1324
        B"01110101" when B"0011010001",  -- INDEX 209
1325
        B"01010111" when B"0011010010",  -- INDEX 210
1326
        B"00101101" when B"0011010011",  -- INDEX 211
1327
        B"11111011" when B"0011010100",  -- INDEX 212
1328
        B"11001010" when B"0011010101",  -- INDEX 213
1329
        B"10100001" when B"0011010110",  -- INDEX 214
1330
        B"10000111" when B"0011010111",  -- INDEX 215
1331
        B"10000000" when B"0011011000",  -- INDEX 216
1332
        B"10001101" when B"0011011001",  -- INDEX 217
1333
        B"10101011" when B"0011011010",  -- INDEX 218
1334
        B"11010111" when B"0011011011",  -- INDEX 219
1335
        B"00001001" when B"0011011100",  -- INDEX 220
1336
        B"00111001" when B"0011011101",  -- INDEX 221
1337
        B"01100001" when B"0011011110",  -- INDEX 222
1338
        B"01111010" when B"0011011111",  -- INDEX 223
1339
        B"01111111" when B"0011100000",  -- INDEX 224
1340
        B"01110010" when B"0011100001",  -- INDEX 225
1341
        B"01010010" when B"0011100010",  -- INDEX 226
1342
        B"00100110" when B"0011100011",  -- INDEX 227
1343
        B"11110100" when B"0011100100",  -- INDEX 228
1344
        B"11000100" when B"0011100101",  -- INDEX 229
1345
        B"10011101" when B"0011100110",  -- INDEX 230
1346
        B"10000101" when B"0011100111",  -- INDEX 231
1347
        B"10000001" when B"0011101000",  -- INDEX 232
1348
        B"10010000" when B"0011101001",  -- INDEX 233
1349
        B"10110000" when B"0011101010",  -- INDEX 234
1350
        B"11011100" when B"0011101011",  -- INDEX 235
1351
        B"00001110" when B"0011101100",  -- INDEX 236
1352
        B"00111110" when B"0011101101",  -- INDEX 237
1353
        B"01100101" when B"0011101110",  -- INDEX 238
1354
        B"01111011" when B"0011101111",  -- INDEX 239
1355
        B"01111111" when B"0011110000",  -- INDEX 240
1356
        B"01101111" when B"0011110001",  -- INDEX 241
1357
        B"01001110" when B"0011110010",  -- INDEX 242
1358
        B"00100001" when B"0011110011",  -- INDEX 243
1359
        B"11101111" when B"0011110100",  -- INDEX 244
1360
        B"11000000" when B"0011110101",  -- INDEX 245
1361
        B"10011010" when B"0011110110",  -- INDEX 246
1362
        B"10000100" when B"0011110111",  -- INDEX 247
1363
        B"10000001" when B"0011111000",  -- INDEX 248
1364
        B"10010010" when B"0011111001",  -- INDEX 249
1365
        B"10110011" when B"0011111010",  -- INDEX 250
1366
        B"11100001" when B"0011111011",  -- INDEX 251
1367
        B"00010011" when B"0011111100",  -- INDEX 252
1368
        B"01000010" when B"0011111101",  -- INDEX 253
1369
        B"01100111" when B"0011111110",  -- INDEX 254
1370
        B"01111100" when B"0011111111",  -- INDEX 255
1371
        B"01111110" when B"0100000000",  -- INDEX 256
1372
        B"01101101" when B"0100000001",  -- INDEX 257
1373
        B"01001011" when B"0100000010",  -- INDEX 258
1374
        B"00011101" when B"0100000011",  -- INDEX 259
1375
        B"11101011" when B"0100000100",  -- INDEX 260
1376
        B"10111100" when B"0100000101",  -- INDEX 261
1377
        B"10011000" when B"0100000110",  -- INDEX 262
1378
        B"10000011" when B"0100000111",  -- INDEX 263
1379
        B"10000010" when B"0100001000",  -- INDEX 264
1380
        B"10010100" when B"0100001001",  -- INDEX 265
1381
        B"10110110" when B"0100001010",  -- INDEX 266
1382
        B"11100100" when B"0100001011",  -- INDEX 267
1383
        B"00010110" when B"0100001100",  -- INDEX 268
1384
        B"01000101" when B"0100001101",  -- INDEX 269
1385
        B"01101001" when B"0100001110",  -- INDEX 270
1386
        B"01111101" when B"0100001111",  -- INDEX 271
1387
        B"01111110" when B"0100010000",  -- INDEX 272
1388
        B"01101100" when B"0100010001",  -- INDEX 273
1389
        B"01001001" when B"0100010010",  -- INDEX 274
1390
        B"00011011" when B"0100010011",  -- INDEX 275
1391
        B"11101001" when B"0100010100",  -- INDEX 276
1392
        B"10111010" when B"0100010101",  -- INDEX 277
1393
        B"10010110" when B"0100010110",  -- INDEX 278
1394
        B"10000011" when B"0100010111",  -- INDEX 279
1395
        B"10000010" when B"0100011000",  -- INDEX 280
1396
        B"10010101" when B"0100011001",  -- INDEX 281
1397
        B"10111000" when B"0100011010",  -- INDEX 282
1398
        B"11100110" when B"0100011011",  -- INDEX 283
1399
        B"00011000" when B"0100011100",  -- INDEX 284
1400
        B"01000110" when B"0100011101",  -- INDEX 285
1401
        B"01101010" when B"0100011110",  -- INDEX 286
1402
        B"01111101" when B"0100011111",  -- INDEX 287
1403
        B"01111110" when B"0100100000",  -- INDEX 288
1404
        B"01101011" when B"0100100001",  -- INDEX 289
1405
        B"01001000" when B"0100100010",  -- INDEX 290
1406
        B"00011001" when B"0100100011",  -- INDEX 291
1407
        B"11100111" when B"0100100100",  -- INDEX 292
1408
        B"10111001" when B"0100100101",  -- INDEX 293
1409
        B"10010110" when B"0100100110",  -- INDEX 294
1410
        B"10000011" when B"0100100111",  -- INDEX 295
1411
        B"10000010" when B"0100101000",  -- INDEX 296
1412
        B"10010101" when B"0100101001",  -- INDEX 297
1413
        B"10111001" when B"0100101010",  -- INDEX 298
1414
        B"11100111" when B"0100101011",  -- INDEX 299
1415
        B"00011001" when B"0100101100",  -- INDEX 300
1416
        B"01000111" when B"0100101101",  -- INDEX 301
1417
        B"01101010" when B"0100101110",  -- INDEX 302
1418
        B"01111101" when B"0100101111",  -- INDEX 303
1419
        B"01111110" when B"0100110000",  -- INDEX 304
1420
        B"01101011" when B"0100110001",  -- INDEX 305
1421
        B"01000111" when B"0100110010",  -- INDEX 306
1422
        B"00011001" when B"0100110011",  -- INDEX 307
1423
        B"11100111" when B"0100110100",  -- INDEX 308
1424
        B"10111001" when B"0100110101",  -- INDEX 309
1425
        B"10010110" when B"0100110110",  -- INDEX 310
1426
        B"10000011" when B"0100110111",  -- INDEX 311
1427
        B"10000010" when B"0100111000",  -- INDEX 312
1428
        B"10010101" when B"0100111001",  -- INDEX 313
1429
        B"10111000" when B"0100111010",  -- INDEX 314
1430
        B"11100110" when B"0100111011",  -- INDEX 315
1431
        B"00011000" when B"0100111100",  -- INDEX 316
1432
        B"01000110" when B"0100111101",  -- INDEX 317
1433
        B"01101010" when B"0100111110",  -- INDEX 318
1434
        B"01111101" when B"0100111111",  -- INDEX 319
1435
        B"01111110" when B"0101000000",  -- INDEX 320
1436
        B"01101011" when B"0101000001",  -- INDEX 321
1437
        B"01001000" when B"0101000010",  -- INDEX 322
1438
        B"00011010" when B"0101000011",  -- INDEX 323
1439
        B"11101001" when B"0101000100",  -- INDEX 324
1440
        B"10111010" when B"0101000101",  -- INDEX 325
1441
        B"10010111" when B"0101000110",  -- INDEX 326
1442
        B"10000011" when B"0101000111",  -- INDEX 327
1443
        B"10000010" when B"0101001000",  -- INDEX 328
1444
        B"10010100" when B"0101001001",  -- INDEX 329
1445
        B"10110111" when B"0101001010",  -- INDEX 330
1446
        B"11100100" when B"0101001011",  -- INDEX 331
1447
        B"00010110" when B"0101001100",  -- INDEX 332
1448
        B"01000101" when B"0101001101",  -- INDEX 333
1449
        B"01101001" when B"0101001110",  -- INDEX 334
1450
        B"01111101" when B"0101001111",  -- INDEX 335
1451
        B"01111110" when B"0101010000",  -- INDEX 336
1452
        B"01101100" when B"0101010001",  -- INDEX 337
1453
        B"01001010" when B"0101010010",  -- INDEX 338
1454
        B"00011101" when B"0101010011",  -- INDEX 339
1455
        B"11101011" when B"0101010100",  -- INDEX 340
1456
        B"10111101" when B"0101010101",  -- INDEX 341
1457
        B"10011000" when B"0101010110",  -- INDEX 342
1458
        B"10000011" when B"0101010111",  -- INDEX 343
1459
        B"10000010" when B"0101011000",  -- INDEX 344
1460
        B"10010011" when B"0101011001",  -- INDEX 345
1461
        B"10110100" when B"0101011010",  -- INDEX 346
1462
        B"11100001" when B"0101011011",  -- INDEX 347
1463
        B"00010011" when B"0101011100",  -- INDEX 348
1464
        B"01000010" when B"0101011101",  -- INDEX 349
1465
        B"01100111" when B"0101011110",  -- INDEX 350
1466
        B"01111100" when B"0101011111",  -- INDEX 351
1467
        B"01111111" when B"0101100000",  -- INDEX 352
1468
        B"01101110" when B"0101100001",  -- INDEX 353
1469
        B"01001101" when B"0101100010",  -- INDEX 354
1470
        B"00100000" when B"0101100011",  -- INDEX 355
1471
        B"11101111" when B"0101100100",  -- INDEX 356
1472
        B"11000000" when B"0101100101",  -- INDEX 357
1473
        B"10011011" when B"0101100110",  -- INDEX 358
1474
        B"10000100" when B"0101100111",  -- INDEX 359
1475
        B"10000001" when B"0101101000",  -- INDEX 360
1476
        B"10010001" when B"0101101001",  -- INDEX 361
1477
        B"10110001" when B"0101101010",  -- INDEX 362
1478
        B"11011101" when B"0101101011",  -- INDEX 363
1479
        B"00001111" when B"0101101100",  -- INDEX 364
1480
        B"00111110" when B"0101101101",  -- INDEX 365
1481
        B"01100100" when B"0101101110",  -- INDEX 366
1482
        B"01111011" when B"0101101111",  -- INDEX 367
1483
        B"01111111" when B"0101110000",  -- INDEX 368
1484
        B"01110001" when B"0101110001",  -- INDEX 369
1485
        B"01010001" when B"0101110010",  -- INDEX 370
1486
        B"00100101" when B"0101110011",  -- INDEX 371
1487
        B"11110100" when B"0101110100",  -- INDEX 372
1488
        B"11000100" when B"0101110101",  -- INDEX 373
1489
        B"10011110" when B"0101110110",  -- INDEX 374
1490
        B"10000110" when B"0101110111",  -- INDEX 375
1491
        B"10000000" when B"0101111000",  -- INDEX 376
1492
        B"10001110" when B"0101111001",  -- INDEX 377
1493
        B"10101101" when B"0101111010",  -- INDEX 378
1494
        B"11011000" when B"0101111011",  -- INDEX 379
1495
        B"00001001" when B"0101111100",  -- INDEX 380
1496
        B"00111001" when B"0101111101",  -- INDEX 381
1497
        B"01100000" when B"0101111110",  -- INDEX 382
1498
        B"01111001" when B"0101111111",  -- INDEX 383
1499
        B"01111111" when B"0110000000",  -- INDEX 384
1500
        B"01110011" when B"0110000001",  -- INDEX 385
1501
        B"01010110" when B"0110000010",  -- INDEX 386
1502
        B"00101011" when B"0110000011",  -- INDEX 387
1503
        B"11111010" when B"0110000100",  -- INDEX 388
1504
        B"11001010" when B"0110000101",  -- INDEX 389
1505
        B"10100010" when B"0110000110",  -- INDEX 390
1506
        B"10001000" when B"0110000111",  -- INDEX 391
1507
        B"10000000" when B"0110001000",  -- INDEX 392
1508
        B"10001011" when B"0110001001",  -- INDEX 393
1509
        B"10101000" when B"0110001010",  -- INDEX 394
1510
        B"11010001" when B"0110001011",  -- INDEX 395
1511
        B"00000010" when B"0110001100",  -- INDEX 396
1512
        B"00110010" when B"0110001101",  -- INDEX 397
1513
        B"01011011" when B"0110001110",  -- INDEX 398
1514
        B"01110110" when B"0110001111",  -- INDEX 399
1515
        B"01111111" when B"0110010000",  -- INDEX 400
1516
        B"01110110" when B"0110010001",  -- INDEX 401
1517
        B"01011011" when B"0110010010",  -- INDEX 402
1518
        B"00110010" when B"0110010011",  -- INDEX 403
1519
        B"00000010" when B"0110010100",  -- INDEX 404
1520
        B"11010001" when B"0110010101",  -- INDEX 405
1521
        B"10101000" when B"0110010110",  -- INDEX 406
1522
        B"10001011" when B"0110010111",  -- INDEX 407
1523
        B"10000000" when B"0110011000",  -- INDEX 408
1524
        B"10001000" when B"0110011001",  -- INDEX 409
1525
        B"10100010" when B"0110011010",  -- INDEX 410
1526
        B"11001010" when B"0110011011",  -- INDEX 411
1527
        B"11111010" when B"0110011100",  -- INDEX 412
1528
        B"00101011" when B"0110011101",  -- INDEX 413
1529
        B"01010110" when B"0110011110",  -- INDEX 414
1530
        B"01110011" when B"0110011111",  -- INDEX 415
1531
        B"01111111" when B"0110100000",  -- INDEX 416
1532
        B"01111001" when B"0110100001",  -- INDEX 417
1533
        B"01100000" when B"0110100010",  -- INDEX 418
1534
        B"00111001" when B"0110100011",  -- INDEX 419
1535
        B"00001001" when B"0110100100",  -- INDEX 420
1536
        B"11011000" when B"0110100101",  -- INDEX 421
1537
        B"10101101" when B"0110100110",  -- INDEX 422
1538
        B"10001110" when B"0110100111",  -- INDEX 423
1539
        B"10000000" when B"0110101000",  -- INDEX 424
1540
        B"10000110" when B"0110101001",  -- INDEX 425
1541
        B"10011110" when B"0110101010",  -- INDEX 426
1542
        B"11000100" when B"0110101011",  -- INDEX 427
1543
        B"11110100" when B"0110101100",  -- INDEX 428
1544
        B"00100101" when B"0110101101",  -- INDEX 429
1545
        B"01010001" when B"0110101110",  -- INDEX 430
1546
        B"01110001" when B"0110101111",  -- INDEX 431
1547
        B"01111111" when B"0110110000",  -- INDEX 432
1548
        B"01111011" when B"0110110001",  -- INDEX 433
1549
        B"01100100" when B"0110110010",  -- INDEX 434
1550
        B"00111110" when B"0110110011",  -- INDEX 435
1551
        B"00001111" when B"0110110100",  -- INDEX 436
1552
        B"11011101" when B"0110110101",  -- INDEX 437
1553
        B"10110001" when B"0110110110",  -- INDEX 438
1554
        B"10010001" when B"0110110111",  -- INDEX 439
1555
        B"10000001" when B"0110111000",  -- INDEX 440
1556
        B"10000100" when B"0110111001",  -- INDEX 441
1557
        B"10011010" when B"0110111010",  -- INDEX 442
1558
        B"11000000" when B"0110111011",  -- INDEX 443
1559
        B"11101111" when B"0110111100",  -- INDEX 444
1560
        B"00100000" when B"0110111101",  -- INDEX 445
1561
        B"01001101" when B"0110111110",  -- INDEX 446
1562
        B"01101110" when B"0110111111",  -- INDEX 447
1563
        B"01111111" when B"0111000000",  -- INDEX 448
1564
        B"01111100" when B"0111000001",  -- INDEX 449
1565
        B"01100111" when B"0111000010",  -- INDEX 450
1566
        B"01000010" when B"0111000011",  -- INDEX 451
1567
        B"00010011" when B"0111000100",  -- INDEX 452
1568
        B"11100010" when B"0111000101",  -- INDEX 453
1569
        B"10110101" when B"0111000110",  -- INDEX 454
1570
        B"10010011" when B"0111000111",  -- INDEX 455
1571
        B"10000010" when B"0111001000",  -- INDEX 456
1572
        B"10000011" when B"0111001001",  -- INDEX 457
1573
        B"10011000" when B"0111001010",  -- INDEX 458
1574
        B"10111100" when B"0111001011",  -- INDEX 459
1575
        B"11101011" when B"0111001100",  -- INDEX 460
1576
        B"00011101" when B"0111001101",  -- INDEX 461
1577
        B"01001010" when B"0111001110",  -- INDEX 462
1578
        B"01101100" when B"0111001111",  -- INDEX 463
1579
        B"01111110" when B"0111010000",  -- INDEX 464
1580
        B"01111101" when B"0111010001",  -- INDEX 465
1581
        B"01101001" when B"0111010010",  -- INDEX 466
1582
        B"01000101" when B"0111010011",  -- INDEX 467
1583
        B"00010111" when B"0111010100",  -- INDEX 468
1584
        B"11100101" when B"0111010101",  -- INDEX 469
1585
        B"10110111" when B"0111010110",  -- INDEX 470
1586
        B"10010101" when B"0111010111",  -- INDEX 471
1587
        B"10000010" when B"0111011000",  -- INDEX 472
1588
        B"10000011" when B"0111011001",  -- INDEX 473
1589
        B"10010110" when B"0111011010",  -- INDEX 474
1590
        B"10111010" when B"0111011011",  -- INDEX 475
1591
        B"11101000" when B"0111011100",  -- INDEX 476
1592
        B"00011010" when B"0111011101",  -- INDEX 477
1593
        B"01001000" when B"0111011110",  -- INDEX 478
1594
        B"01101011" when B"0111011111",  -- INDEX 479
1595
        B"01111110" when B"0111100000",  -- INDEX 480
1596
        B"01111101" when B"0111100001",  -- INDEX 481
1597
        B"01101010" when B"0111100010",  -- INDEX 482
1598
        B"01000111" when B"0111100011",  -- INDEX 483
1599
        B"00011001" when B"0111100100",  -- INDEX 484
1600
        B"11100111" when B"0111100101",  -- INDEX 485
1601
        B"10111001" when B"0111100110",  -- INDEX 486
1602
        B"10010101" when B"0111100111",  -- INDEX 487
1603
        B"10000010" when B"0111101000",  -- INDEX 488
1604
        B"10000010" when B"0111101001",  -- INDEX 489
1605
        B"10010110" when B"0111101010",  -- INDEX 490
1606
        B"10111001" when B"0111101011",  -- INDEX 491
1607
        B"11100111" when B"0111101100",  -- INDEX 492
1608
        B"00011001" when B"0111101101",  -- INDEX 493
1609
        B"01000111" when B"0111101110",  -- INDEX 494
1610
        B"01101010" when B"0111101111",  -- INDEX 495
1611
        B"01111110" when B"0111110000",  -- INDEX 496
1612
        B"01111110" when B"0111110001",  -- INDEX 497
1613
        B"01101011" when B"0111110010",  -- INDEX 498
1614
        B"01000111" when B"0111110011",  -- INDEX 499
1615
        B"00011001" when B"0111110100",  -- INDEX 500
1616
        B"11100111" when B"0111110101",  -- INDEX 501
1617
        B"10111001" when B"0111110110",  -- INDEX 502
1618
        B"10010110" when B"0111110111",  -- INDEX 503
1619
        B"10000011" when B"0111111000",  -- INDEX 504
1620
        B"10000010" when B"0111111001",  -- INDEX 505
1621
        B"10010101" when B"0111111010",  -- INDEX 506
1622
        B"10111001" when B"0111111011",  -- INDEX 507
1623
        B"11100111" when B"0111111100",  -- INDEX 508
1624
        B"00011001" when B"0111111101",  -- INDEX 509
1625
        B"01000111" when B"0111111110",  -- INDEX 510
1626
        B"01101010" when B"0111111111",  -- INDEX 511
1627
        B"01111110" when B"1000000000",  -- INDEX 512
1628
        B"01111110" when B"1000000001",  -- INDEX 513
1629
        B"01101010" when B"1000000010",  -- INDEX 514
1630
        B"01000111" when B"1000000011",  -- INDEX 515
1631
        B"00011001" when B"1000000100",  -- INDEX 516
1632
        B"11100111" when B"1000000101",  -- INDEX 517
1633
        B"10111000" when B"1000000110",  -- INDEX 518
1634
        B"10010101" when B"1000000111",  -- INDEX 519
1635
        B"10000010" when B"1000001000",  -- INDEX 520
1636
        B"10000011" when B"1000001001",  -- INDEX 521
1637
        B"10010110" when B"1000001010",  -- INDEX 522
1638
        B"10111010" when B"1000001011",  -- INDEX 523
1639
        B"11101000" when B"1000001100",  -- INDEX 524
1640
        B"00011010" when B"1000001101",  -- INDEX 525
1641
        B"01001000" when B"1000001110",  -- INDEX 526
1642
        B"01101011" when B"1000001111",  -- INDEX 527
1643
        B"01111110" when B"1000010000",  -- INDEX 528
1644
        B"01111101" when B"1000010001",  -- INDEX 529
1645
        B"01101001" when B"1000010010",  -- INDEX 530
1646
        B"01000101" when B"1000010011",  -- INDEX 531
1647
        B"00010111" when B"1000010100",  -- INDEX 532
1648
        B"11100101" when B"1000010101",  -- INDEX 533
1649
        B"10110111" when B"1000010110",  -- INDEX 534
1650
        B"10010100" when B"1000010111",  -- INDEX 535
1651
        B"10000010" when B"1000011000",  -- INDEX 536
1652
        B"10000011" when B"1000011001",  -- INDEX 537
1653
        B"10010111" when B"1000011010",  -- INDEX 538
1654
        B"10111100" when B"1000011011",  -- INDEX 539
1655
        B"11101011" when B"1000011100",  -- INDEX 540
1656
        B"00011101" when B"1000011101",  -- INDEX 541
1657
        B"01001010" when B"1000011110",  -- INDEX 542
1658
        B"01101101" when B"1000011111",  -- INDEX 543
1659
        B"01111110" when B"1000100000",  -- INDEX 544
1660
        B"01111101" when B"1000100001",  -- INDEX 545
1661
        B"01101000" when B"1000100010",  -- INDEX 546
1662
        B"01000011" when B"1000100011",  -- INDEX 547
1663
        B"00010100" when B"1000100100",  -- INDEX 548
1664
        B"11100010" when B"1000100101",  -- INDEX 549
1665
        B"10110100" when B"1000100110",  -- INDEX 550
1666
        B"10010010" when B"1000100111",  -- INDEX 551
1667
        B"10000001" when B"1000101000",  -- INDEX 552
1668
        B"10000100" when B"1000101001",  -- INDEX 553
1669
        B"10011010" when B"1000101010",  -- INDEX 554
1670
        B"10111111" when B"1000101011",  -- INDEX 555
1671
        B"11101110" when B"1000101100",  -- INDEX 556
1672
        B"00100001" when B"1000101101",  -- INDEX 557
1673
        B"01001110" when B"1000101110",  -- INDEX 558
1674
        B"01101111" when B"1000101111",  -- INDEX 559
1675
        B"01111111" when B"1000110000",  -- INDEX 560
1676
        B"01111011" when B"1000110001",  -- INDEX 561
1677
        B"01100101" when B"1000110010",  -- INDEX 562
1678
        B"00111111" when B"1000110011",  -- INDEX 563
1679
        B"00001111" when B"1000110100",  -- INDEX 564
1680
        B"11011101" when B"1000110101",  -- INDEX 565
1681
        B"10110000" when B"1000110110",  -- INDEX 566
1682
        B"10010000" when B"1000110111",  -- INDEX 567
1683
        B"10000001" when B"1000111000",  -- INDEX 568
1684
        B"10000101" when B"1000111001",  -- INDEX 569
1685
        B"10011101" when B"1000111010",  -- INDEX 570
1686
        B"11000011" when B"1000111011",  -- INDEX 571
1687
        B"11110011" when B"1000111100",  -- INDEX 572
1688
        B"00100110" when B"1000111101",  -- INDEX 573
1689
        B"01010010" when B"1000111110",  -- INDEX 574
1690
        B"01110001" when B"1000111111",  -- INDEX 575
1691
        B"01111111" when B"1001000000",  -- INDEX 576
1692
        B"01111010" when B"1001000001",  -- INDEX 577
1693
        B"01100001" when B"1001000010",  -- INDEX 578
1694
        B"00111010" when B"1001000011",  -- INDEX 579
1695
        B"00001001" when B"1001000100",  -- INDEX 580
1696
        B"11011000" when B"1001000101",  -- INDEX 581
1697
        B"10101100" when B"1001000110",  -- INDEX 582
1698
        B"10001101" when B"1001000111",  -- INDEX 583
1699
        B"10000000" when B"1001001000",  -- INDEX 584
1700
        B"10000111" when B"1001001001",  -- INDEX 585
1701
        B"10100001" when B"1001001010",  -- INDEX 586
1702
        B"11001001" when B"1001001011",  -- INDEX 587
1703
        B"11111010" when B"1001001100",  -- INDEX 588
1704
        B"00101100" when B"1001001101",  -- INDEX 589
1705
        B"01010111" when B"1001001110",  -- INDEX 590
1706
        B"01110100" when B"1001001111",  -- INDEX 591
1707
        B"01111111" when B"1001010000",  -- INDEX 592
1708
        B"01111000" when B"1001010001",  -- INDEX 593
1709
        B"01011101" when B"1001010010",  -- INDEX 594
1710
        B"00110100" when B"1001010011",  -- INDEX 595
1711
        B"00000010" when B"1001010100",  -- INDEX 596
1712
        B"11010001" when B"1001010101",  -- INDEX 597
1713
        B"10100111" when B"1001010110",  -- INDEX 598
1714
        B"10001010" when B"1001010111",  -- INDEX 599
1715
        B"10000000" when B"1001011000",  -- INDEX 600
1716
        B"10001010" when B"1001011001",  -- INDEX 601
1717
        B"10100110" when B"1001011010",  -- INDEX 602
1718
        B"11010000" when B"1001011011",  -- INDEX 603
1719
        B"00000001" when B"1001011100",  -- INDEX 604
1720
        B"00110011" when B"1001011101",  -- INDEX 605
1721
        B"01011100" when B"1001011110",  -- INDEX 606
1722
        B"01110111" when B"1001011111",  -- INDEX 607
1723
        B"01111111" when B"1001100000",  -- INDEX 608
1724
        B"01110101" when B"1001100001",  -- INDEX 609
1725
        B"01010111" when B"1001100010",  -- INDEX 610
1726
        B"00101101" when B"1001100011",  -- INDEX 611
1727
        B"11111011" when B"1001100100",  -- INDEX 612
1728
        B"11001010" when B"1001100101",  -- INDEX 613
1729
        B"10100001" when B"1001100110",  -- INDEX 614
1730
        B"10000111" when B"1001100111",  -- INDEX 615
1731
        B"10000000" when B"1001101000",  -- INDEX 616
1732
        B"10001101" when B"1001101001",  -- INDEX 617
1733
        B"10101011" when B"1001101010",  -- INDEX 618
1734
        B"11010111" when B"1001101011",  -- INDEX 619
1735
        B"00001001" when B"1001101100",  -- INDEX 620
1736
        B"00111001" when B"1001101101",  -- INDEX 621
1737
        B"01100001" when B"1001101110",  -- INDEX 622
1738
        B"01111010" when B"1001101111",  -- INDEX 623
1739
        B"01111111" when B"1001110000",  -- INDEX 624
1740
        B"01110010" when B"1001110001",  -- INDEX 625
1741
        B"01010010" when B"1001110010",  -- INDEX 626
1742
        B"00100110" when B"1001110011",  -- INDEX 627
1743
        B"11110100" when B"1001110100",  -- INDEX 628
1744
        B"11000100" when B"1001110101",  -- INDEX 629
1745
        B"10011101" when B"1001110110",  -- INDEX 630
1746
        B"10000101" when B"1001110111",  -- INDEX 631
1747
        B"10000001" when B"1001111000",  -- INDEX 632
1748
        B"10010000" when B"1001111001",  -- INDEX 633
1749
        B"10110000" when B"1001111010",  -- INDEX 634
1750
        B"11011100" when B"1001111011",  -- INDEX 635
1751
        B"00001110" when B"1001111100",  -- INDEX 636
1752
        B"00111110" when B"1001111101",  -- INDEX 637
1753
        B"01100101" when B"1001111110",  -- INDEX 638
1754
        B"01111011" when B"1001111111",  -- INDEX 639
1755
        B"01111111" when B"1010000000",  -- INDEX 640
1756
        B"01101111" when B"1010000001",  -- INDEX 641
1757
        B"01001110" when B"1010000010",  -- INDEX 642
1758
        B"00100001" when B"1010000011",  -- INDEX 643
1759
        B"11101111" when B"1010000100",  -- INDEX 644
1760
        B"11000000" when B"1010000101",  -- INDEX 645
1761
        B"10011010" when B"1010000110",  -- INDEX 646
1762
        B"10000100" when B"1010000111",  -- INDEX 647
1763
        B"10000001" when B"1010001000",  -- INDEX 648
1764
        B"10010010" when B"1010001001",  -- INDEX 649
1765
        B"10110011" when B"1010001010",  -- INDEX 650
1766
        B"11100001" when B"1010001011",  -- INDEX 651
1767
        B"00010011" when B"1010001100",  -- INDEX 652
1768
        B"01000010" when B"1010001101",  -- INDEX 653
1769
        B"01100111" when B"1010001110",  -- INDEX 654
1770
        B"01111100" when B"1010001111",  -- INDEX 655
1771
        B"01111110" when B"1010010000",  -- INDEX 656
1772
        B"01101101" when B"1010010001",  -- INDEX 657
1773
        B"01001011" when B"1010010010",  -- INDEX 658
1774
        B"00011101" when B"1010010011",  -- INDEX 659
1775
        B"11101011" when B"1010010100",  -- INDEX 660
1776
        B"10111100" when B"1010010101",  -- INDEX 661
1777
        B"10011000" when B"1010010110",  -- INDEX 662
1778
        B"10000011" when B"1010010111",  -- INDEX 663
1779
        B"10000010" when B"1010011000",  -- INDEX 664
1780
        B"10010100" when B"1010011001",  -- INDEX 665
1781
        B"10110110" when B"1010011010",  -- INDEX 666
1782
        B"11100100" when B"1010011011",  -- INDEX 667
1783
        B"00010110" when B"1010011100",  -- INDEX 668
1784
        B"01000101" when B"1010011101",  -- INDEX 669
1785
        B"01101001" when B"1010011110",  -- INDEX 670
1786
        B"01111101" when B"1010011111",  -- INDEX 671
1787
        B"01111110" when B"1010100000",  -- INDEX 672
1788
        B"01101100" when B"1010100001",  -- INDEX 673
1789
        B"01001001" when B"1010100010",  -- INDEX 674
1790
        B"00011011" when B"1010100011",  -- INDEX 675
1791
        B"11101001" when B"1010100100",  -- INDEX 676
1792
        B"10111010" when B"1010100101",  -- INDEX 677
1793
        B"10010110" when B"1010100110",  -- INDEX 678
1794
        B"10000011" when B"1010100111",  -- INDEX 679
1795
        B"10000010" when B"1010101000",  -- INDEX 680
1796
        B"10010101" when B"1010101001",  -- INDEX 681
1797
        B"10111000" when B"1010101010",  -- INDEX 682
1798
        B"11100110" when B"1010101011",  -- INDEX 683
1799
        B"00011000" when B"1010101100",  -- INDEX 684
1800
        B"01000110" when B"1010101101",  -- INDEX 685
1801
        B"01101010" when B"1010101110",  -- INDEX 686
1802
        B"01111101" when B"1010101111",  -- INDEX 687
1803
        B"01111110" when B"1010110000",  -- INDEX 688
1804
        B"01101011" when B"1010110001",  -- INDEX 689
1805
        B"01001000" when B"1010110010",  -- INDEX 690
1806
        B"00011001" when B"1010110011",  -- INDEX 691
1807
        B"11100111" when B"1010110100",  -- INDEX 692
1808
        B"10111001" when B"1010110101",  -- INDEX 693
1809
        B"10010110" when B"1010110110",  -- INDEX 694
1810
        B"10000011" when B"1010110111",  -- INDEX 695
1811
        B"10000010" when B"1010111000",  -- INDEX 696
1812
        B"10010101" when B"1010111001",  -- INDEX 697
1813
        B"10111001" when B"1010111010",  -- INDEX 698
1814
        B"11100111" when B"1010111011",  -- INDEX 699
1815
        B"00011001" when B"1010111100",  -- INDEX 700
1816
        B"01000111" when B"1010111101",  -- INDEX 701
1817
        B"01101010" when B"1010111110",  -- INDEX 702
1818
        B"01111101" when B"1010111111",  -- INDEX 703
1819
        B"01111110" when B"1011000000",  -- INDEX 704
1820
        B"01101011" when B"1011000001",  -- INDEX 705
1821
        B"01000111" when B"1011000010",  -- INDEX 706
1822
        B"00011001" when B"1011000011",  -- INDEX 707
1823
        B"11100111" when B"1011000100",  -- INDEX 708
1824
        B"10111001" when B"1011000101",  -- INDEX 709
1825
        B"10010110" when B"1011000110",  -- INDEX 710
1826
        B"10000011" when B"1011000111",  -- INDEX 711
1827
        B"10000010" when B"1011001000",  -- INDEX 712
1828
        B"10010101" when B"1011001001",  -- INDEX 713
1829
        B"10111000" when B"1011001010",  -- INDEX 714
1830
        B"11100110" when B"1011001011",  -- INDEX 715
1831
        B"00011000" when B"1011001100",  -- INDEX 716
1832
        B"01000110" when B"1011001101",  -- INDEX 717
1833
        B"01101010" when B"1011001110",  -- INDEX 718
1834
        B"01111101" when B"1011001111",  -- INDEX 719
1835
        B"01111110" when B"1011010000",  -- INDEX 720
1836
        B"01101011" when B"1011010001",  -- INDEX 721
1837
        B"01001000" when B"1011010010",  -- INDEX 722
1838
        B"00011010" when B"1011010011",  -- INDEX 723
1839
        B"11101001" when B"1011010100",  -- INDEX 724
1840
        B"10111010" when B"1011010101",  -- INDEX 725
1841
        B"10010111" when B"1011010110",  -- INDEX 726
1842
        B"10000011" when B"1011010111",  -- INDEX 727
1843
        B"10000010" when B"1011011000",  -- INDEX 728
1844
        B"10010100" when B"1011011001",  -- INDEX 729
1845
        B"10110111" when B"1011011010",  -- INDEX 730
1846
        B"11100100" when B"1011011011",  -- INDEX 731
1847
        B"00010110" when B"1011011100",  -- INDEX 732
1848
        B"01000101" when B"1011011101",  -- INDEX 733
1849
        B"01101001" when B"1011011110",  -- INDEX 734
1850
        B"01111101" when B"1011011111",  -- INDEX 735
1851
        B"01111110" when B"1011100000",  -- INDEX 736
1852
        B"01101100" when B"1011100001",  -- INDEX 737
1853
        B"01001010" when B"1011100010",  -- INDEX 738
1854
        B"00011101" when B"1011100011",  -- INDEX 739
1855
        B"11101011" when B"1011100100",  -- INDEX 740
1856
        B"10111101" when B"1011100101",  -- INDEX 741
1857
        B"10011000" when B"1011100110",  -- INDEX 742
1858
        B"10000011" when B"1011100111",  -- INDEX 743
1859
        B"10000010" when B"1011101000",  -- INDEX 744
1860
        B"10010011" when B"1011101001",  -- INDEX 745
1861
        B"10110100" when B"1011101010",  -- INDEX 746
1862
        B"11100001" when B"1011101011",  -- INDEX 747
1863
        B"00010011" when B"1011101100",  -- INDEX 748
1864
        B"01000010" when B"1011101101",  -- INDEX 749
1865
        B"01100111" when B"1011101110",  -- INDEX 750
1866
        B"01111100" when B"1011101111",  -- INDEX 751
1867
        B"01111111" when B"1011110000",  -- INDEX 752
1868
        B"01101110" when B"1011110001",  -- INDEX 753
1869
        B"01001101" when B"1011110010",  -- INDEX 754
1870
        B"00100000" when B"1011110011",  -- INDEX 755
1871
        B"11101111" when B"1011110100",  -- INDEX 756
1872
        B"11000000" when B"1011110101",  -- INDEX 757
1873
        B"10011011" when B"1011110110",  -- INDEX 758
1874
        B"10000100" when B"1011110111",  -- INDEX 759
1875
        B"10000001" when B"1011111000",  -- INDEX 760
1876
        B"10010001" when B"1011111001",  -- INDEX 761
1877
        B"10110001" when B"1011111010",  -- INDEX 762
1878
        B"11011101" when B"1011111011",  -- INDEX 763
1879
        B"00001111" when B"1011111100",  -- INDEX 764
1880
        B"00111110" when B"1011111101",  -- INDEX 765
1881
        B"01100100" when B"1011111110",  -- INDEX 766
1882
        B"01111011" when B"1011111111",  -- INDEX 767
1883
        B"01111111" when B"1100000000",  -- INDEX 768
1884
        B"01110001" when B"1100000001",  -- INDEX 769
1885
        B"01010001" when B"1100000010",  -- INDEX 770
1886
        B"00100101" when B"1100000011",  -- INDEX 771
1887
        B"11110100" when B"1100000100",  -- INDEX 772
1888
        B"11000100" when B"1100000101",  -- INDEX 773
1889
        B"10011110" when B"1100000110",  -- INDEX 774
1890
        B"10000110" when B"1100000111",  -- INDEX 775
1891
        B"10000000" when B"1100001000",  -- INDEX 776
1892
        B"10001110" when B"1100001001",  -- INDEX 777
1893
        B"10101101" when B"1100001010",  -- INDEX 778
1894
        B"11011000" when B"1100001011",  -- INDEX 779
1895
        B"00001001" when B"1100001100",  -- INDEX 780
1896
        B"00111001" when B"1100001101",  -- INDEX 781
1897
        B"01100000" when B"1100001110",  -- INDEX 782
1898
        B"01111001" when B"1100001111",  -- INDEX 783
1899
        B"01111111" when B"1100010000",  -- INDEX 784
1900
        B"01110011" when B"1100010001",  -- INDEX 785
1901
        B"01010110" when B"1100010010",  -- INDEX 786
1902
        B"00101011" when B"1100010011",  -- INDEX 787
1903
        B"11111010" when B"1100010100",  -- INDEX 788
1904
        B"11001010" when B"1100010101",  -- INDEX 789
1905
        B"10100010" when B"1100010110",  -- INDEX 790
1906
        B"10001000" when B"1100010111",  -- INDEX 791
1907
        B"10000000" when B"1100011000",  -- INDEX 792
1908
        B"10001011" when B"1100011001",  -- INDEX 793
1909
        B"10101000" when B"1100011010",  -- INDEX 794
1910
        B"11010001" when B"1100011011",  -- INDEX 795
1911
        B"00000010" when B"1100011100",  -- INDEX 796
1912
        B"00110010" when B"1100011101",  -- INDEX 797
1913
        B"01011011" when B"1100011110",  -- INDEX 798
1914
        B"01110110" when B"1100011111",  -- INDEX 799
1915
        B"01111111" when B"1100100000",  -- INDEX 800
1916
        B"01110110" when B"1100100001",  -- INDEX 801
1917
        B"01011011" when B"1100100010",  -- INDEX 802
1918
        B"00110010" when B"1100100011",  -- INDEX 803
1919
        B"00000010" when B"1100100100",  -- INDEX 804
1920
        B"11010001" when B"1100100101",  -- INDEX 805
1921
        B"10101000" when B"1100100110",  -- INDEX 806
1922
        B"10001011" when B"1100100111",  -- INDEX 807
1923
        B"10000000" when B"1100101000",  -- INDEX 808
1924
        B"10001000" when B"1100101001",  -- INDEX 809
1925
        B"10100010" when B"1100101010",  -- INDEX 810
1926
        B"11001010" when B"1100101011",  -- INDEX 811
1927
        B"11111010" when B"1100101100",  -- INDEX 812
1928
        B"00101011" when B"1100101101",  -- INDEX 813
1929
        B"01010110" when B"1100101110",  -- INDEX 814
1930
        B"01110011" when B"1100101111",  -- INDEX 815
1931
        B"01111111" when B"1100110000",  -- INDEX 816
1932
        B"01111001" when B"1100110001",  -- INDEX 817
1933
        B"01100000" when B"1100110010",  -- INDEX 818
1934
        B"00111001" when B"1100110011",  -- INDEX 819
1935
        B"00001001" when B"1100110100",  -- INDEX 820
1936
        B"11011000" when B"1100110101",  -- INDEX 821
1937
        B"10101101" when B"1100110110",  -- INDEX 822
1938
        B"10001110" when B"1100110111",  -- INDEX 823
1939
        B"10000000" when B"1100111000",  -- INDEX 824
1940
        B"10000110" when B"1100111001",  -- INDEX 825
1941
        B"10011110" when B"1100111010",  -- INDEX 826
1942
        B"11000100" when B"1100111011",  -- INDEX 827
1943
        B"11110100" when B"1100111100",  -- INDEX 828
1944
        B"00100101" when B"1100111101",  -- INDEX 829
1945
        B"01010001" when B"1100111110",  -- INDEX 830
1946
        B"01110001" when B"1100111111",  -- INDEX 831
1947
        B"01111111" when B"1101000000",  -- INDEX 832
1948
        B"01111011" when B"1101000001",  -- INDEX 833
1949
        B"01100100" when B"1101000010",  -- INDEX 834
1950
        B"00111110" when B"1101000011",  -- INDEX 835
1951
        B"00001111" when B"1101000100",  -- INDEX 836
1952
        B"11011101" when B"1101000101",  -- INDEX 837
1953
        B"10110001" when B"1101000110",  -- INDEX 838
1954
        B"10010001" when B"1101000111",  -- INDEX 839
1955
        B"10000001" when B"1101001000",  -- INDEX 840
1956
        B"10000100" when B"1101001001",  -- INDEX 841
1957
        B"10011010" when B"1101001010",  -- INDEX 842
1958
        B"11000000" when B"1101001011",  -- INDEX 843
1959
        B"11101111" when B"1101001100",  -- INDEX 844
1960
        B"00100000" when B"1101001101",  -- INDEX 845
1961
        B"01001101" when B"1101001110",  -- INDEX 846
1962
        B"01101110" when B"1101001111",  -- INDEX 847
1963
        B"01111111" when B"1101010000",  -- INDEX 848
1964
        B"01111100" when B"1101010001",  -- INDEX 849
1965
        B"01100111" when B"1101010010",  -- INDEX 850
1966
        B"01000010" when B"1101010011",  -- INDEX 851
1967
        B"00010011" when B"1101010100",  -- INDEX 852
1968
        B"11100010" when B"1101010101",  -- INDEX 853
1969
        B"10110101" when B"1101010110",  -- INDEX 854
1970
        B"10010011" when B"1101010111",  -- INDEX 855
1971
        B"10000010" when B"1101011000",  -- INDEX 856
1972
        B"10000011" when B"1101011001",  -- INDEX 857
1973
        B"10011000" when B"1101011010",  -- INDEX 858
1974
        B"10111100" when B"1101011011",  -- INDEX 859
1975
        B"11101011" when B"1101011100",  -- INDEX 860
1976
        B"00011101" when B"1101011101",  -- INDEX 861
1977
        B"01001010" when B"1101011110",  -- INDEX 862
1978
        B"01101100" when B"1101011111",  -- INDEX 863
1979
        B"01111110" when B"1101100000",  -- INDEX 864
1980
        B"01111101" when B"1101100001",  -- INDEX 865
1981
        B"01101001" when B"1101100010",  -- INDEX 866
1982
        B"01000101" when B"1101100011",  -- INDEX 867
1983
        B"00010111" when B"1101100100",  -- INDEX 868
1984
        B"11100101" when B"1101100101",  -- INDEX 869
1985
        B"10110111" when B"1101100110",  -- INDEX 870
1986
        B"10010101" when B"1101100111",  -- INDEX 871
1987
        B"10000010" when B"1101101000",  -- INDEX 872
1988
        B"10000011" when B"1101101001",  -- INDEX 873
1989
        B"10010110" when B"1101101010",  -- INDEX 874
1990
        B"10111010" when B"1101101011",  -- INDEX 875
1991
        B"11101000" when B"1101101100",  -- INDEX 876
1992
        B"00011010" when B"1101101101",  -- INDEX 877
1993
        B"01001000" when B"1101101110",  -- INDEX 878
1994
        B"01101011" when B"1101101111",  -- INDEX 879
1995
        B"01111110" when B"1101110000",  -- INDEX 880
1996
        B"01111101" when B"1101110001",  -- INDEX 881
1997
        B"01101010" when B"1101110010",  -- INDEX 882
1998
        B"01000111" when B"1101110011",  -- INDEX 883
1999
        B"00011001" when B"1101110100",  -- INDEX 884
2000
        B"11100111" when B"1101110101",  -- INDEX 885
2001
        B"10111001" when B"1101110110",  -- INDEX 886
2002
        B"10010101" when B"1101110111",  -- INDEX 887
2003
        B"10000010" when B"1101111000",  -- INDEX 888
2004
        B"10000010" when B"1101111001",  -- INDEX 889
2005
        B"10010110" when B"1101111010",  -- INDEX 890
2006
        B"10111001" when B"1101111011",  -- INDEX 891
2007
        B"11100111" when B"1101111100",  -- INDEX 892
2008
        B"00011001" when B"1101111101",  -- INDEX 893
2009
        B"01000111" when B"1101111110",  -- INDEX 894
2010
        B"01101010" when B"1101111111",  -- INDEX 895
2011
        B"01111110" when B"1110000000",  -- INDEX 896
2012
        B"01111110" when B"1110000001",  -- INDEX 897
2013
        B"01101011" when B"1110000010",  -- INDEX 898
2014
        B"01000111" when B"1110000011",  -- INDEX 899
2015
        B"00011001" when B"1110000100",  -- INDEX 900
2016
        B"11100111" when B"1110000101",  -- INDEX 901
2017
        B"10111001" when B"1110000110",  -- INDEX 902
2018
        B"10010110" when B"1110000111",  -- INDEX 903
2019
        B"10000011" when B"1110001000",  -- INDEX 904
2020
        B"10000010" when B"1110001001",  -- INDEX 905
2021
        B"10010101" when B"1110001010",  -- INDEX 906
2022
        B"10111001" when B"1110001011",  -- INDEX 907
2023
        B"11100111" when B"1110001100",  -- INDEX 908
2024
        B"00011001" when B"1110001101",  -- INDEX 909
2025
        B"01000111" when B"1110001110",  -- INDEX 910
2026
        B"01101010" when B"1110001111",  -- INDEX 911
2027
        B"01111110" when B"1110010000",  -- INDEX 912
2028
        B"01111110" when B"1110010001",  -- INDEX 913
2029
        B"01101010" when B"1110010010",  -- INDEX 914
2030
        B"01000111" when B"1110010011",  -- INDEX 915
2031
        B"00011001" when B"1110010100",  -- INDEX 916
2032
        B"11100111" when B"1110010101",  -- INDEX 917
2033
        B"10111000" when B"1110010110",  -- INDEX 918
2034
        B"10010101" when B"1110010111",  -- INDEX 919
2035
        B"10000010" when B"1110011000",  -- INDEX 920
2036
        B"10000011" when B"1110011001",  -- INDEX 921
2037
        B"10010110" when B"1110011010",  -- INDEX 922
2038
        B"10111010" when B"1110011011",  -- INDEX 923
2039
        B"11101000" when B"1110011100",  -- INDEX 924
2040
        B"00011010" when B"1110011101",  -- INDEX 925
2041
        B"01001000" when B"1110011110",  -- INDEX 926
2042
        B"01101011" when B"1110011111",  -- INDEX 927
2043
        B"01111110" when B"1110100000",  -- INDEX 928
2044
        B"01111101" when B"1110100001",  -- INDEX 929
2045
        B"01101001" when B"1110100010",  -- INDEX 930
2046
        B"01000101" when B"1110100011",  -- INDEX 931
2047
        B"00010111" when B"1110100100",  -- INDEX 932
2048
        B"11100101" when B"1110100101",  -- INDEX 933
2049
        B"10110111" when B"1110100110",  -- INDEX 934
2050
        B"10010100" when B"1110100111",  -- INDEX 935
2051
        B"10000010" when B"1110101000",  -- INDEX 936
2052
        B"10000011" when B"1110101001",  -- INDEX 937
2053
        B"10010111" when B"1110101010",  -- INDEX 938
2054
        B"10111100" when B"1110101011",  -- INDEX 939
2055
        B"11101011" when B"1110101100",  -- INDEX 940
2056
        B"00011101" when B"1110101101",  -- INDEX 941
2057
        B"01001010" when B"1110101110",  -- INDEX 942
2058
        B"01101101" when B"1110101111",  -- INDEX 943
2059
        B"01111110" when B"1110110000",  -- INDEX 944
2060
        B"01111101" when B"1110110001",  -- INDEX 945
2061
        B"01101000" when B"1110110010",  -- INDEX 946
2062
        B"01000011" when B"1110110011",  -- INDEX 947
2063
        B"00010100" when B"1110110100",  -- INDEX 948
2064
        B"11100010" when B"1110110101",  -- INDEX 949
2065
        B"10110100" when B"1110110110",  -- INDEX 950
2066
        B"10010010" when B"1110110111",  -- INDEX 951
2067
        B"10000001" when B"1110111000",  -- INDEX 952
2068
        B"10000100" when B"1110111001",  -- INDEX 953
2069
        B"10011010" when B"1110111010",  -- INDEX 954
2070
        B"10111111" when B"1110111011",  -- INDEX 955
2071
        B"11101110" when B"1110111100",  -- INDEX 956
2072
        B"00100001" when B"1110111101",  -- INDEX 957
2073
        B"01001110" when B"1110111110",  -- INDEX 958
2074
        B"01101111" when B"1110111111",  -- INDEX 959
2075
        B"01111111" when B"1111000000",  -- INDEX 960
2076
        B"01111011" when B"1111000001",  -- INDEX 961
2077
        B"01100101" when B"1111000010",  -- INDEX 962
2078
        B"00111111" when B"1111000011",  -- INDEX 963
2079
        B"00001111" when B"1111000100",  -- INDEX 964
2080
        B"11011101" when B"1111000101",  -- INDEX 965
2081
        B"10110000" when B"1111000110",  -- INDEX 966
2082
        B"10010000" when B"1111000111",  -- INDEX 967
2083
        B"10000001" when B"1111001000",  -- INDEX 968
2084
        B"10000101" when B"1111001001",  -- INDEX 969
2085
        B"10011101" when B"1111001010",  -- INDEX 970
2086
        B"11000011" when B"1111001011",  -- INDEX 971
2087
        B"11110011" when B"1111001100",  -- INDEX 972
2088
        B"00100110" when B"1111001101",  -- INDEX 973
2089
        B"01010010" when B"1111001110",  -- INDEX 974
2090
        B"01110001" when B"1111001111",  -- INDEX 975
2091
        B"01111111" when B"1111010000",  -- INDEX 976
2092
        B"01111010" when B"1111010001",  -- INDEX 977
2093
        B"01100001" when B"1111010010",  -- INDEX 978
2094
        B"00111010" when B"1111010011",  -- INDEX 979
2095
        B"00001001" when B"1111010100",  -- INDEX 980
2096
        B"11011000" when B"1111010101",  -- INDEX 981
2097
        B"10101100" when B"1111010110",  -- INDEX 982
2098
        B"10001101" when B"1111010111",  -- INDEX 983
2099
        B"10000000" when B"1111011000",  -- INDEX 984
2100
        B"10000111" when B"1111011001",  -- INDEX 985
2101
        B"10100001" when B"1111011010",  -- INDEX 986
2102
        B"11001001" when B"1111011011",  -- INDEX 987
2103
        B"11111010" when B"1111011100",  -- INDEX 988
2104
        B"00101100" when B"1111011101",  -- INDEX 989
2105
        B"01010111" when B"1111011110",  -- INDEX 990
2106
        B"01110100" when B"1111011111",  -- INDEX 991
2107
        B"01111111" when B"1111100000",  -- INDEX 992
2108
        B"01111000" when B"1111100001",  -- INDEX 993
2109
        B"01011101" when B"1111100010",  -- INDEX 994
2110
        B"00110100" when B"1111100011",  -- INDEX 995
2111
        B"00000010" when B"1111100100",  -- INDEX 996
2112
        B"11010001" when B"1111100101",  -- INDEX 997
2113
        B"10100111" when B"1111100110",  -- INDEX 998
2114
        B"10001010" when B"1111100111",  -- INDEX 999
2115
 
2116
-- END INPUT FM SIGNAL
2117
        B"00000000" when others;
2118
 
2119
end input_data;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.