OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [bench_xil/] [input_fm_xil.vhdl] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 arif_endro
-- $Id: input_fm_xil.vhdl,v 1.1.1.1 2005-01-04 02:05:58 arif_endro Exp $
2
-------------------------------------------------------------------------------
3
-- Title       : Input signal FM For Xilinx
4
-- Project     : FM Receiver 
5
-------------------------------------------------------------------------------
6
-- File        : input_fm.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2004/12/22
9
-- Last update : 2005/01/02
10
-- Simulators  : Modelsim 6.0
11
-- Synthesizers: Xilinx 6.3i
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Input signal FM 1000 signal
15
-------------------------------------------------------------------------------
16
-- Copyright (c) 2004 Arif E. Nugroho
17
-- This VHDL design file is an open design; you can redistribute it and/or
18
-- modify it and/or implement it after contacting the author
19
-------------------------------------------------------------------------------
20
 
21
library IEEE;
22
use IEEE.std_logic_1164.all;
23
use IEEE.std_logic_arith.all;
24
 
25
entity input_fm is
26
   port (
27
   clock              : in  std_logic;
28
   clear              : in  std_logic;
29
   test_signal_fm     : out bit_vector (07 downto 0);
30
   test_signal_fmTri  : out bit_vector (07 downto 0)
31
   );
32
end input_fm;
33
 
34
architecture input_data of input_fm is
35
component adder_10bit
36
        port (
37
        addend_10bit          : in  bit_vector (09 downto 0);
38
        augend_10bit          : in  bit_vector (09 downto 0);
39
        adder10_output        : out bit_vector (10 downto 0)
40
        );
41
end component;
42
 
43
signal test_signal_fm_int    : bit_vector (07 downto 0);
44
signal test_signal_fmTri_int : bit_vector (07 downto 0);
45
signal counter               : bit_vector (09 downto 0);
46
signal counter_tmp           : bit_vector (10 downto 0);
47
signal one_increment         : bit_vector (09 downto 0);
48
begin
49
 
50
 
51
    one_increment (00) <= '1';
52
    one_increment (01) <= '0';
53
    one_increment (02) <= '0';
54
    one_increment (03) <= '0';
55
    one_increment (04) <= '0';
56
    one_increment (05) <= '0';
57
    one_increment (06) <= '0';
58
    one_increment (07) <= '0';
59
    one_increment (08) <= '0';
60
    one_increment (09) <= '0';
61
 
62
counter_one : adder_10bit
63
    port map (
64
    addend_10bit   => counter,
65
    augend_10bit   => one_increment,
66
    adder10_output => counter_tmp
67
    );
68
 
69
process (clock, clear)
70
begin
71
    if (((clock = '1') and (not( clear = '1'))) and clock'event) then
72
                counter(09 downto 0) <= counter_tmp(09 downto 0);
73
                test_signal_fm    <= test_signal_fm_int;
74
                test_signal_fmTri <= test_signal_fmTri_int;
75
    elsif (clear = '1') then
76
                counter           <= (others => '0');
77
                test_signal_fm    <= (others => '0');
78
                test_signal_fmTri <= (others => '0');
79
    end if;
80
end process;
81
 
82
        with counter (09 downto 0) select
83
        test_signal_fm_int <=
84
-- START INPUT FM SIGNAL
85
 
86
        B"01111111" when B"0000000000",  -- INDEX 0
87
        B"01110110" when B"0000000001",  -- INDEX 1
88
        B"01011010" when B"0000000010",  -- INDEX 2
89
        B"00110000" when B"0000000011",  -- INDEX 3
90
        B"11111110" when B"0000000100",  -- INDEX 4
91
        B"11001101" when B"0000000101",  -- INDEX 5
92
        B"10100011" when B"0000000110",  -- INDEX 6
93
        B"10001000" when B"0000000111",  -- INDEX 7
94
        B"10000000" when B"0000001000",  -- INDEX 8
95
        B"10001100" when B"0000001001",  -- INDEX 9
96
        B"10101001" when B"0000001010",  -- INDEX 10
97
        B"11010100" when B"0000001011",  -- INDEX 11
98
        B"00000110" when B"0000001100",  -- INDEX 12
99
        B"00110111" when B"0000001101",  -- INDEX 13
100
        B"01011111" when B"0000001110",  -- INDEX 14
101
        B"01111001" when B"0000001111",  -- INDEX 15
102
        B"01111111" when B"0000010000",  -- INDEX 16
103
        B"01110011" when B"0000010001",  -- INDEX 17
104
        B"01010100" when B"0000010010",  -- INDEX 18
105
        B"00101000" when B"0000010011",  -- INDEX 19
106
        B"11110110" when B"0000010100",  -- INDEX 20
107
        B"11000101" when B"0000010101",  -- INDEX 21
108
        B"10011110" when B"0000010110",  -- INDEX 22
109
        B"10000110" when B"0000010111",  -- INDEX 23
110
        B"10000001" when B"0000011000",  -- INDEX 24
111
        B"10001111" when B"0000011001",  -- INDEX 25
112
        B"10101111" when B"0000011010",  -- INDEX 26
113
        B"11011100" when B"0000011011",  -- INDEX 27
114
        B"00001110" when B"0000011100",  -- INDEX 28
115
        B"00111110" when B"0000011101",  -- INDEX 29
116
        B"01100101" when B"0000011110",  -- INDEX 30
117
        B"01111011" when B"0000011111",  -- INDEX 31
118
        B"01111111" when B"0000100000",  -- INDEX 32
119
        B"01101111" when B"0000100001",  -- INDEX 33
120
        B"01001110" when B"0000100010",  -- INDEX 34
121
        B"00100000" when B"0000100011",  -- INDEX 35
122
        B"11101110" when B"0000100100",  -- INDEX 36
123
        B"10111110" when B"0000100101",  -- INDEX 37
124
        B"10011001" when B"0000100110",  -- INDEX 38
125
        B"10000100" when B"0000100111",  -- INDEX 39
126
        B"10000010" when B"0000101000",  -- INDEX 40
127
        B"10010011" when B"0000101001",  -- INDEX 41
128
        B"10110110" when B"0000101010",  -- INDEX 42
129
        B"11100100" when B"0000101011",  -- INDEX 43
130
        B"00010110" when B"0000101100",  -- INDEX 44
131
        B"01000101" when B"0000101101",  -- INDEX 45
132
        B"01101001" when B"0000101110",  -- INDEX 46
133
        B"01111101" when B"0000101111",  -- INDEX 47
134
        B"01111110" when B"0000110000",  -- INDEX 48
135
        B"01101011" when B"0000110001",  -- INDEX 49
136
        B"01000111" when B"0000110010",  -- INDEX 50
137
        B"00011000" when B"0000110011",  -- INDEX 51
138
        B"11100110" when B"0000110100",  -- INDEX 52
139
        B"10111000" when B"0000110101",  -- INDEX 53
140
        B"10010100" when B"0000110110",  -- INDEX 54
141
        B"10000010" when B"0000110111",  -- INDEX 55
142
        B"10000011" when B"0000111000",  -- INDEX 56
143
        B"10011000" when B"0000111001",  -- INDEX 57
144
        B"10111100" when B"0000111010",  -- INDEX 58
145
        B"11101011" when B"0000111011",  -- INDEX 59
146
        B"00011110" when B"0000111100",  -- INDEX 60
147
        B"01001100" when B"0000111101",  -- INDEX 61
148
        B"01101110" when B"0000111110",  -- INDEX 62
149
        B"01111111" when B"0000111111",  -- INDEX 63
150
        B"01111100" when B"0001000000",  -- INDEX 64
151
        B"01100110" when B"0001000001",  -- INDEX 65
152
        B"01000000" when B"0001000010",  -- INDEX 66
153
        B"00010001" when B"0001000011",  -- INDEX 67
154
        B"11011110" when B"0001000100",  -- INDEX 68
155
        B"10110001" when B"0001000101",  -- INDEX 69
156
        B"10010000" when B"0001000110",  -- INDEX 70
157
        B"10000001" when B"0001000111",  -- INDEX 71
158
        B"10000101" when B"0001001000",  -- INDEX 72
159
        B"10011100" when B"0001001001",  -- INDEX 73
160
        B"11000011" when B"0001001010",  -- INDEX 74
161
        B"11110011" when B"0001001011",  -- INDEX 75
162
        B"00100110" when B"0001001100",  -- INDEX 76
163
        B"01010010" when B"0001001101",  -- INDEX 77
164
        B"01110010" when B"0001001110",  -- INDEX 78
165
        B"01111111" when B"0001001111",  -- INDEX 79
166
        B"01111010" when B"0001010000",  -- INDEX 80
167
        B"01100001" when B"0001010001",  -- INDEX 81
168
        B"00111001" when B"0001010010",  -- INDEX 82
169
        B"00001001" when B"0001010011",  -- INDEX 83
170
        B"11010111" when B"0001010100",  -- INDEX 84
171
        B"10101011" when B"0001010101",  -- INDEX 85
172
        B"10001101" when B"0001010110",  -- INDEX 86
173
        B"10000000" when B"0001010111",  -- INDEX 87
174
        B"10001000" when B"0001011000",  -- INDEX 88
175
        B"10100010" when B"0001011001",  -- INDEX 89
176
        B"11001010" when B"0001011010",  -- INDEX 90
177
        B"11111011" when B"0001011011",  -- INDEX 91
178
        B"00101101" when B"0001011100",  -- INDEX 92
179
        B"01011000" when B"0001011101",  -- INDEX 93
180
        B"01110101" when B"0001011110",  -- INDEX 94
181
        B"01111111" when B"0001011111",  -- INDEX 95
182
        B"01110111" when B"0001100000",  -- INDEX 96
183
        B"01011100" when B"0001100001",  -- INDEX 97
184
        B"00110010" when B"0001100010",  -- INDEX 98
185
        B"00000001" when B"0001100011",  -- INDEX 99
186
        B"11001111" when B"0001100100",  -- INDEX 100
187
        B"10100101" when B"0001100101",  -- INDEX 101
188
        B"10001001" when B"0001100110",  -- INDEX 102
189
        B"10000000" when B"0001100111",  -- INDEX 103
190
        B"10001011" when B"0001101000",  -- INDEX 104
191
        B"10100111" when B"0001101001",  -- INDEX 105
192
        B"11010010" when B"0001101010",  -- INDEX 106
193
        B"00000100" when B"0001101011",  -- INDEX 107
194
        B"00110101" when B"0001101100",  -- INDEX 108
195
        B"01011110" when B"0001101101",  -- INDEX 109
196
        B"01111000" when B"0001101110",  -- INDEX 110
197
        B"01111111" when B"0001101111",  -- INDEX 111
198
        B"01110100" when B"0001110000",  -- INDEX 112
199
        B"01010110" when B"0001110001",  -- INDEX 113
200
        B"00101010" when B"0001110010",  -- INDEX 114
201
        B"11111000" when B"0001110011",  -- INDEX 115
202
        B"11001000" when B"0001110100",  -- INDEX 116
203
        B"10100000" when B"0001110101",  -- INDEX 117
204
        B"10000111" when B"0001110110",  -- INDEX 118
205
        B"10000000" when B"0001110111",  -- INDEX 119
206
        B"10001110" when B"0001111000",  -- INDEX 120
207
        B"10101101" when B"0001111001",  -- INDEX 121
208
        B"11011001" when B"0001111010",  -- INDEX 122
209
        B"00001100" when B"0001111011",  -- INDEX 123
210
        B"00111100" when B"0001111100",  -- INDEX 124
211
        B"01100011" when B"0001111101",  -- INDEX 125
212
        B"01111011" when B"0001111110",  -- INDEX 126
213
        B"01111111" when B"0001111111",  -- INDEX 127
214
        B"01110000" when B"0010000000",  -- INDEX 128
215
        B"01010000" when B"0010000001",  -- INDEX 129
216
        B"00100011" when B"0010000010",  -- INDEX 130
217
        B"11110000" when B"0010000011",  -- INDEX 131
218
        B"11000001" when B"0010000100",  -- INDEX 132
219
        B"10011011" when B"0010000101",  -- INDEX 133
220
        B"10000100" when B"0010000110",  -- INDEX 134
221
        B"10000001" when B"0010000111",  -- INDEX 135
222
        B"10010010" when B"0010001000",  -- INDEX 136
223
        B"10110100" when B"0010001001",  -- INDEX 137
224
        B"11100001" when B"0010001010",  -- INDEX 138
225
        B"00010100" when B"0010001011",  -- INDEX 139
226
        B"01000011" when B"0010001100",  -- INDEX 140
227
        B"01101000" when B"0010001101",  -- INDEX 141
228
        B"01111101" when B"0010001110",  -- INDEX 142
229
        B"01111110" when B"0010001111",  -- INDEX 143
230
        B"01101100" when B"0010010000",  -- INDEX 144
231
        B"01001001" when B"0010010001",  -- INDEX 145
232
        B"00011011" when B"0010010010",  -- INDEX 146
233
        B"11101001" when B"0010010011",  -- INDEX 147
234
        B"10111010" when B"0010010100",  -- INDEX 148
235
        B"10010110" when B"0010010101",  -- INDEX 149
236
        B"10000010" when B"0010010110",  -- INDEX 150
237
        B"10000011" when B"0010010111",  -- INDEX 151
238
        B"10010110" when B"0010011000",  -- INDEX 152
239
        B"10111010" when B"0010011001",  -- INDEX 153
240
        B"11101001" when B"0010011010",  -- INDEX 154
241
        B"00011011" when B"0010011011",  -- INDEX 155
242
        B"01001010" when B"0010011100",  -- INDEX 156
243
        B"01101100" when B"0010011101",  -- INDEX 157
244
        B"01111110" when B"0010011110",  -- INDEX 158
245
        B"01111101" when B"0010011111",  -- INDEX 159
246
        B"01101000" when B"0010100000",  -- INDEX 160
247
        B"01000010" when B"0010100001",  -- INDEX 161
248
        B"00010011" when B"0010100010",  -- INDEX 162
249
        B"11100001" when B"0010100011",  -- INDEX 163
250
        B"10110011" when B"0010100100",  -- INDEX 164
251
        B"10010010" when B"0010100101",  -- INDEX 165
252
        B"10000001" when B"0010100110",  -- INDEX 166
253
        B"10000100" when B"0010100111",  -- INDEX 167
254
        B"10011011" when B"0010101000",  -- INDEX 168
255
        B"11000001" when B"0010101001",  -- INDEX 169
256
        B"11110001" when B"0010101010",  -- INDEX 170
257
        B"00100011" when B"0010101011",  -- INDEX 171
258
        B"01010000" when B"0010101100",  -- INDEX 172
259
        B"01110000" when B"0010101101",  -- INDEX 173
260
        B"01111111" when B"0010101110",  -- INDEX 174
261
        B"01111010" when B"0010101111",  -- INDEX 175
262
        B"01100011" when B"0010110000",  -- INDEX 176
263
        B"00111011" when B"0010110001",  -- INDEX 177
264
        B"00001011" when B"0010110010",  -- INDEX 178
265
        B"11011001" when B"0010110011",  -- INDEX 179
266
        B"10101101" when B"0010110100",  -- INDEX 180
267
        B"10001110" when B"0010110101",  -- INDEX 181
268
        B"10000000" when B"0010110110",  -- INDEX 182
269
        B"10000111" when B"0010110111",  -- INDEX 183
270
        B"10100000" when B"0010111000",  -- INDEX 184
271
        B"11001000" when B"0010111001",  -- INDEX 185
272
        B"11111001" when B"0010111010",  -- INDEX 186
273
        B"00101011" when B"0010111011",  -- INDEX 187
274
        B"01010110" when B"0010111100",  -- INDEX 188
275
        B"01110100" when B"0010111101",  -- INDEX 189
276
        B"01111111" when B"0010111110",  -- INDEX 190
277
        B"01111000" when B"0010111111",  -- INDEX 191
278
        B"01011101" when B"0011000000",  -- INDEX 192
279
        B"00110100" when B"0011000001",  -- INDEX 193
280
        B"00000011" when B"0011000010",  -- INDEX 194
281
        B"11010001" when B"0011000011",  -- INDEX 195
282
        B"10100111" when B"0011000100",  -- INDEX 196
283
        B"10001010" when B"0011000101",  -- INDEX 197
284
        B"10000000" when B"0011000110",  -- INDEX 198
285
        B"10001010" when B"0011000111",  -- INDEX 199
286
        B"10100101" when B"0011001000",  -- INDEX 200
287
        B"11001111" when B"0011001001",  -- INDEX 201
288
        B"11111111" when B"0011001010",  -- INDEX 202
289
        B"00110000" when B"0011001011",  -- INDEX 203
290
        B"01011001" when B"0011001100",  -- INDEX 204
291
        B"01110101" when B"0011001101",  -- INDEX 205
292
        B"01111111" when B"0011001110",  -- INDEX 206
293
        B"01111000" when B"0011001111",  -- INDEX 207
294
        B"01011101" when B"0011010000",  -- INDEX 208
295
        B"00110101" when B"0011010001",  -- INDEX 209
296
        B"00000101" when B"0011010010",  -- INDEX 210
297
        B"11010100" when B"0011010011",  -- INDEX 211
298
        B"10101010" when B"0011010100",  -- INDEX 212
299
        B"10001100" when B"0011010101",  -- INDEX 213
300
        B"10000000" when B"0011010110",  -- INDEX 214
301
        B"10000111" when B"0011010111",  -- INDEX 215
302
        B"10100000" when B"0011011000",  -- INDEX 216
303
        B"11000111" when B"0011011001",  -- INDEX 217
304
        B"11110111" when B"0011011010",  -- INDEX 218
305
        B"00101000" when B"0011011011",  -- INDEX 219
306
        B"01010011" when B"0011011100",  -- INDEX 220
307
        B"01110010" when B"0011011101",  -- INDEX 221
308
        B"01111111" when B"0011011110",  -- INDEX 222
309
        B"01111010" when B"0011011111",  -- INDEX 223
310
        B"01100011" when B"0011100000",  -- INDEX 224
311
        B"00111100" when B"0011100001",  -- INDEX 225
312
        B"00001101" when B"0011100010",  -- INDEX 226
313
        B"11011100" when B"0011100011",  -- INDEX 227
314
        B"10110000" when B"0011100100",  -- INDEX 228
315
        B"10010000" when B"0011100101",  -- INDEX 229
316
        B"10000001" when B"0011100110",  -- INDEX 230
317
        B"10000101" when B"0011100111",  -- INDEX 231
318
        B"10011011" when B"0011101000",  -- INDEX 232
319
        B"11000000" when B"0011101001",  -- INDEX 233
320
        B"11101111" when B"0011101010",  -- INDEX 234
321
        B"00100000" when B"0011101011",  -- INDEX 235
322
        B"01001101" when B"0011101100",  -- INDEX 236
323
        B"01101110" when B"0011101101",  -- INDEX 237
324
        B"01111111" when B"0011101110",  -- INDEX 238
325
        B"01111100" when B"0011101111",  -- INDEX 239
326
        B"01101000" when B"0011110000",  -- INDEX 240
327
        B"01000011" when B"0011110001",  -- INDEX 241
328
        B"00010101" when B"0011110010",  -- INDEX 242
329
        B"11100100" when B"0011110011",  -- INDEX 243
330
        B"10110110" when B"0011110100",  -- INDEX 244
331
        B"10010100" when B"0011110101",  -- INDEX 245
332
        B"10000010" when B"0011110110",  -- INDEX 246
333
        B"10000011" when B"0011110111",  -- INDEX 247
334
        B"10010110" when B"0011111000",  -- INDEX 248
335
        B"10111001" when B"0011111001",  -- INDEX 249
336
        B"11100111" when B"0011111010",  -- INDEX 250
337
        B"00011000" when B"0011111011",  -- INDEX 251
338
        B"01000110" when B"0011111100",  -- INDEX 252
339
        B"01101010" when B"0011111101",  -- INDEX 253
340
        B"01111101" when B"0011111110",  -- INDEX 254
341
        B"01111110" when B"0011111111",  -- INDEX 255
342
        B"01101100" when B"0100000000",  -- INDEX 256
343
        B"01001010" when B"0100000001",  -- INDEX 257
344
        B"00011101" when B"0100000010",  -- INDEX 258
345
        B"11101011" when B"0100000011",  -- INDEX 259
346
        B"10111101" when B"0100000100",  -- INDEX 260
347
        B"10011001" when B"0100000101",  -- INDEX 261
348
        B"10000100" when B"0100000110",  -- INDEX 262
349
        B"10000001" when B"0100000111",  -- INDEX 263
350
        B"10010010" when B"0100001000",  -- INDEX 264
351
        B"10110011" when B"0100001001",  -- INDEX 265
352
        B"11011111" when B"0100001010",  -- INDEX 266
353
        B"00010001" when B"0100001011",  -- INDEX 267
354
        B"00111111" when B"0100001100",  -- INDEX 268
355
        B"01100101" when B"0100001101",  -- INDEX 269
356
        B"01111011" when B"0100001110",  -- INDEX 270
357
        B"01111111" when B"0100001111",  -- INDEX 271
358
        B"01110000" when B"0100010000",  -- INDEX 272
359
        B"01010000" when B"0100010001",  -- INDEX 273
360
        B"00100101" when B"0100010010",  -- INDEX 274
361
        B"11110011" when B"0100010011",  -- INDEX 275
362
        B"11000100" when B"0100010100",  -- INDEX 276
363
        B"10011110" when B"0100010101",  -- INDEX 277
364
        B"10000110" when B"0100010110",  -- INDEX 278
365
        B"10000000" when B"0100010111",  -- INDEX 279
366
        B"10001110" when B"0100011000",  -- INDEX 280
367
        B"10101100" when B"0100011001",  -- INDEX 281
368
        B"11010111" when B"0100011010",  -- INDEX 282
369
        B"00001001" when B"0100011011",  -- INDEX 283
370
        B"00111000" when B"0100011100",  -- INDEX 284
371
        B"01100000" when B"0100011101",  -- INDEX 285
372
        B"01111001" when B"0100011110",  -- INDEX 286
373
        B"01111111" when B"0100011111",  -- INDEX 287
374
        B"01110100" when B"0100100000",  -- INDEX 288
375
        B"01010111" when B"0100100001",  -- INDEX 289
376
        B"00101100" when B"0100100010",  -- INDEX 290
377
        B"11111011" when B"0100100011",  -- INDEX 291
378
        B"11001011" when B"0100100100",  -- INDEX 292
379
        B"10100011" when B"0100100101",  -- INDEX 293
380
        B"10001001" when B"0100100110",  -- INDEX 294
381
        B"10000000" when B"0100100111",  -- INDEX 295
382
        B"10001011" when B"0100101000",  -- INDEX 296
383
        B"10100111" when B"0100101001",  -- INDEX 297
384
        B"11010000" when B"0100101010",  -- INDEX 298
385
        B"00000001" when B"0100101011",  -- INDEX 299
386
        B"00110001" when B"0100101100",  -- INDEX 300
387
        B"01011010" when B"0100101101",  -- INDEX 301
388
        B"01110110" when B"0100101110",  -- INDEX 302
389
        B"01111111" when B"0100101111",  -- INDEX 303
390
        B"01110111" when B"0100110000",  -- INDEX 304
391
        B"01011100" when B"0100110001",  -- INDEX 305
392
        B"00110100" when B"0100110010",  -- INDEX 306
393
        B"00000100" when B"0100110011",  -- INDEX 307
394
        B"11010011" when B"0100110100",  -- INDEX 308
395
        B"10101001" when B"0100110101",  -- INDEX 309
396
        B"10001100" when B"0100110110",  -- INDEX 310
397
        B"10000000" when B"0100110111",  -- INDEX 311
398
        B"10001000" when B"0100111000",  -- INDEX 312
399
        B"10100001" when B"0100111001",  -- INDEX 313
400
        B"11001001" when B"0100111010",  -- INDEX 314
401
        B"11111000" when B"0100111011",  -- INDEX 315
402
        B"00101001" when B"0100111100",  -- INDEX 316
403
        B"01010100" when B"0100111101",  -- INDEX 317
404
        B"01110011" when B"0100111110",  -- INDEX 318
405
        B"01111111" when B"0100111111",  -- INDEX 319
406
        B"01111010" when B"0101000000",  -- INDEX 320
407
        B"01100010" when B"0101000001",  -- INDEX 321
408
        B"00111011" when B"0101000010",  -- INDEX 322
409
        B"00001100" when B"0101000011",  -- INDEX 323
410
        B"11011010" when B"0101000100",  -- INDEX 324
411
        B"10101111" when B"0101000101",  -- INDEX 325
412
        B"10001111" when B"0101000110",  -- INDEX 326
413
        B"10000001" when B"0101000111",  -- INDEX 327
414
        B"10000101" when B"0101001000",  -- INDEX 328
415
        B"10011100" when B"0101001001",  -- INDEX 329
416
        B"11000001" when B"0101001010",  -- INDEX 330
417
        B"11110000" when B"0101001011",  -- INDEX 331
418
        B"00100010" when B"0101001100",  -- INDEX 332
419
        B"01001110" when B"0101001101",  -- INDEX 333
420
        B"01101111" when B"0101001110",  -- INDEX 334
421
        B"01111111" when B"0101001111",  -- INDEX 335
422
        B"01111100" when B"0101010000",  -- INDEX 336
423
        B"01100111" when B"0101010001",  -- INDEX 337
424
        B"01000010" when B"0101010010",  -- INDEX 338
425
        B"00010100" when B"0101010011",  -- INDEX 339
426
        B"11100010" when B"0101010100",  -- INDEX 340
427
        B"10110101" when B"0101010101",  -- INDEX 341
428
        B"10010011" when B"0101010110",  -- INDEX 342
429
        B"10000010" when B"0101010111",  -- INDEX 343
430
        B"10000011" when B"0101011000",  -- INDEX 344
431
        B"10010111" when B"0101011001",  -- INDEX 345
432
        B"10111011" when B"0101011010",  -- INDEX 346
433
        B"11101001" when B"0101011011",  -- INDEX 347
434
        B"00011010" when B"0101011100",  -- INDEX 348
435
        B"01001000" when B"0101011101",  -- INDEX 349
436
        B"01101010" when B"0101011110",  -- INDEX 350
437
        B"01111101" when B"0101011111",  -- INDEX 351
438
        B"01111110" when B"0101100000",  -- INDEX 352
439
        B"01101011" when B"0101100001",  -- INDEX 353
440
        B"01001001" when B"0101100010",  -- INDEX 354
441
        B"00011011" when B"0101100011",  -- INDEX 355
442
        B"11101010" when B"0101100100",  -- INDEX 356
443
        B"10111100" when B"0101100101",  -- INDEX 357
444
        B"10011000" when B"0101100110",  -- INDEX 358
445
        B"10000011" when B"0101100111",  -- INDEX 359
446
        B"10000010" when B"0101101000",  -- INDEX 360
447
        B"10010011" when B"0101101001",  -- INDEX 361
448
        B"10110100" when B"0101101010",  -- INDEX 362
449
        B"11100001" when B"0101101011",  -- INDEX 363
450
        B"00010010" when B"0101101100",  -- INDEX 364
451
        B"01000001" when B"0101101101",  -- INDEX 365
452
        B"01100110" when B"0101101110",  -- INDEX 366
453
        B"01111100" when B"0101101111",  -- INDEX 367
454
        B"01111111" when B"0101110000",  -- INDEX 368
455
        B"01101111" when B"0101110001",  -- INDEX 369
456
        B"01001111" when B"0101110010",  -- INDEX 370
457
        B"00100011" when B"0101110011",  -- INDEX 371
458
        B"11110010" when B"0101110100",  -- INDEX 372
459
        B"11000011" when B"0101110101",  -- INDEX 373
460
        B"10011101" when B"0101110110",  -- INDEX 374
461
        B"10000110" when B"0101110111",  -- INDEX 375
462
        B"10000001" when B"0101111000",  -- INDEX 376
463
        B"10001111" when B"0101111001",  -- INDEX 377
464
        B"10101110" when B"0101111010",  -- INDEX 378
465
        B"11011001" when B"0101111011",  -- INDEX 379
466
        B"00001010" when B"0101111100",  -- INDEX 380
467
        B"00111010" when B"0101111101",  -- INDEX 381
468
        B"01100001" when B"0101111110",  -- INDEX 382
469
        B"01111001" when B"0101111111",  -- INDEX 383
470
        B"01111111" when B"0110000000",  -- INDEX 384
471
        B"01110011" when B"0110000001",  -- INDEX 385
472
        B"01010101" when B"0110000010",  -- INDEX 386
473
        B"00101011" when B"0110000011",  -- INDEX 387
474
        B"11111010" when B"0110000100",  -- INDEX 388
475
        B"11001010" when B"0110000101",  -- INDEX 389
476
        B"10100010" when B"0110000110",  -- INDEX 390
477
        B"10001000" when B"0110000111",  -- INDEX 391
478
        B"10000000" when B"0110001000",  -- INDEX 392
479
        B"10001011" when B"0110001001",  -- INDEX 393
480
        B"10101000" when B"0110001010",  -- INDEX 394
481
        B"11010001" when B"0110001011",  -- INDEX 395
482
        B"00000010" when B"0110001100",  -- INDEX 396
483
        B"00110010" when B"0110001101",  -- INDEX 397
484
        B"01011011" when B"0110001110",  -- INDEX 398
485
        B"01110110" when B"0110001111",  -- INDEX 399
486
        B"01111111" when B"0110010000",  -- INDEX 400
487
        B"01110110" when B"0110010001",  -- INDEX 401
488
        B"01011010" when B"0110010010",  -- INDEX 402
489
        B"00110000" when B"0110010011",  -- INDEX 403
490
        B"11111110" when B"0110010100",  -- INDEX 404
491
        B"11001101" when B"0110010101",  -- INDEX 405
492
        B"10100011" when B"0110010110",  -- INDEX 406
493
        B"10001000" when B"0110010111",  -- INDEX 407
494
        B"10000000" when B"0110011000",  -- INDEX 408
495
        B"10001100" when B"0110011001",  -- INDEX 409
496
        B"10101001" when B"0110011010",  -- INDEX 410
497
        B"11010100" when B"0110011011",  -- INDEX 411
498
        B"00000110" when B"0110011100",  -- INDEX 412
499
        B"00110111" when B"0110011101",  -- INDEX 413
500
        B"01011111" when B"0110011110",  -- INDEX 414
501
        B"01111001" when B"0110011111",  -- INDEX 415
502
        B"01111111" when B"0110100000",  -- INDEX 416
503
        B"01110011" when B"0110100001",  -- INDEX 417
504
        B"01010100" when B"0110100010",  -- INDEX 418
505
        B"00101000" when B"0110100011",  -- INDEX 419
506
        B"11110110" when B"0110100100",  -- INDEX 420
507
        B"11000101" when B"0110100101",  -- INDEX 421
508
        B"10011110" when B"0110100110",  -- INDEX 422
509
        B"10000110" when B"0110100111",  -- INDEX 423
510
        B"10000001" when B"0110101000",  -- INDEX 424
511
        B"10001111" when B"0110101001",  -- INDEX 425
512
        B"10101111" when B"0110101010",  -- INDEX 426
513
        B"11011100" when B"0110101011",  -- INDEX 427
514
        B"00001110" when B"0110101100",  -- INDEX 428
515
        B"00111110" when B"0110101101",  -- INDEX 429
516
        B"01100101" when B"0110101110",  -- INDEX 430
517
        B"01111011" when B"0110101111",  -- INDEX 431
518
        B"01111111" when B"0110110000",  -- INDEX 432
519
        B"01101111" when B"0110110001",  -- INDEX 433
520
        B"01001110" when B"0110110010",  -- INDEX 434
521
        B"00100000" when B"0110110011",  -- INDEX 435
522
        B"11101110" when B"0110110100",  -- INDEX 436
523
        B"10111110" when B"0110110101",  -- INDEX 437
524
        B"10011001" when B"0110110110",  -- INDEX 438
525
        B"10000100" when B"0110110111",  -- INDEX 439
526
        B"10000010" when B"0110111000",  -- INDEX 440
527
        B"10010011" when B"0110111001",  -- INDEX 441
528
        B"10110110" when B"0110111010",  -- INDEX 442
529
        B"11100100" when B"0110111011",  -- INDEX 443
530
        B"00010110" when B"0110111100",  -- INDEX 444
531
        B"01000101" when B"0110111101",  -- INDEX 445
532
        B"01101001" when B"0110111110",  -- INDEX 446
533
        B"01111101" when B"0110111111",  -- INDEX 447
534
        B"01111110" when B"0111000000",  -- INDEX 448
535
        B"01101011" when B"0111000001",  -- INDEX 449
536
        B"01000111" when B"0111000010",  -- INDEX 450
537
        B"00011000" when B"0111000011",  -- INDEX 451
538
        B"11100110" when B"0111000100",  -- INDEX 452
539
        B"10111000" when B"0111000101",  -- INDEX 453
540
        B"10010100" when B"0111000110",  -- INDEX 454
541
        B"10000010" when B"0111000111",  -- INDEX 455
542
        B"10000011" when B"0111001000",  -- INDEX 456
543
        B"10011000" when B"0111001001",  -- INDEX 457
544
        B"10111100" when B"0111001010",  -- INDEX 458
545
        B"11101011" when B"0111001011",  -- INDEX 459
546
        B"00011110" when B"0111001100",  -- INDEX 460
547
        B"01001100" when B"0111001101",  -- INDEX 461
548
        B"01101110" when B"0111001110",  -- INDEX 462
549
        B"01111111" when B"0111001111",  -- INDEX 463
550
        B"01111100" when B"0111010000",  -- INDEX 464
551
        B"01100110" when B"0111010001",  -- INDEX 465
552
        B"01000000" when B"0111010010",  -- INDEX 466
553
        B"00010001" when B"0111010011",  -- INDEX 467
554
        B"11011110" when B"0111010100",  -- INDEX 468
555
        B"10110001" when B"0111010101",  -- INDEX 469
556
        B"10010000" when B"0111010110",  -- INDEX 470
557
        B"10000001" when B"0111010111",  -- INDEX 471
558
        B"10000101" when B"0111011000",  -- INDEX 472
559
        B"10011100" when B"0111011001",  -- INDEX 473
560
        B"11000011" when B"0111011010",  -- INDEX 474
561
        B"11110011" when B"0111011011",  -- INDEX 475
562
        B"00100110" when B"0111011100",  -- INDEX 476
563
        B"01010010" when B"0111011101",  -- INDEX 477
564
        B"01110010" when B"0111011110",  -- INDEX 478
565
        B"01111111" when B"0111011111",  -- INDEX 479
566
        B"01111010" when B"0111100000",  -- INDEX 480
567
        B"01100001" when B"0111100001",  -- INDEX 481
568
        B"00111001" when B"0111100010",  -- INDEX 482
569
        B"00001001" when B"0111100011",  -- INDEX 483
570
        B"11010111" when B"0111100100",  -- INDEX 484
571
        B"10101011" when B"0111100101",  -- INDEX 485
572
        B"10001101" when B"0111100110",  -- INDEX 486
573
        B"10000000" when B"0111100111",  -- INDEX 487
574
        B"10001000" when B"0111101000",  -- INDEX 488
575
        B"10100010" when B"0111101001",  -- INDEX 489
576
        B"11001010" when B"0111101010",  -- INDEX 490
577
        B"11111011" when B"0111101011",  -- INDEX 491
578
        B"00101101" when B"0111101100",  -- INDEX 492
579
        B"01011000" when B"0111101101",  -- INDEX 493
580
        B"01110101" when B"0111101110",  -- INDEX 494
581
        B"01111111" when B"0111101111",  -- INDEX 495
582
        B"01110111" when B"0111110000",  -- INDEX 496
583
        B"01011100" when B"0111110001",  -- INDEX 497
584
        B"00110010" when B"0111110010",  -- INDEX 498
585
        B"00000001" when B"0111110011",  -- INDEX 499
586
        B"11001111" when B"0111110100",  -- INDEX 500
587
        B"10100101" when B"0111110101",  -- INDEX 501
588
        B"10001001" when B"0111110110",  -- INDEX 502
589
        B"10000000" when B"0111110111",  -- INDEX 503
590
        B"10001011" when B"0111111000",  -- INDEX 504
591
        B"10100111" when B"0111111001",  -- INDEX 505
592
        B"11010010" when B"0111111010",  -- INDEX 506
593
        B"00000100" when B"0111111011",  -- INDEX 507
594
        B"00110101" when B"0111111100",  -- INDEX 508
595
        B"01011110" when B"0111111101",  -- INDEX 509
596
        B"01111000" when B"0111111110",  -- INDEX 510
597
        B"01111111" when B"0111111111",  -- INDEX 511
598
        B"01110100" when B"1000000000",  -- INDEX 512
599
        B"01010110" when B"1000000001",  -- INDEX 513
600
        B"00101010" when B"1000000010",  -- INDEX 514
601
        B"11111000" when B"1000000011",  -- INDEX 515
602
        B"11001000" when B"1000000100",  -- INDEX 516
603
        B"10100000" when B"1000000101",  -- INDEX 517
604
        B"10000111" when B"1000000110",  -- INDEX 518
605
        B"10000000" when B"1000000111",  -- INDEX 519
606
        B"10001110" when B"1000001000",  -- INDEX 520
607
        B"10101101" when B"1000001001",  -- INDEX 521
608
        B"11011001" when B"1000001010",  -- INDEX 522
609
        B"00001100" when B"1000001011",  -- INDEX 523
610
        B"00111100" when B"1000001100",  -- INDEX 524
611
        B"01100011" when B"1000001101",  -- INDEX 525
612
        B"01111011" when B"1000001110",  -- INDEX 526
613
        B"01111111" when B"1000001111",  -- INDEX 527
614
        B"01110000" when B"1000010000",  -- INDEX 528
615
        B"01010000" when B"1000010001",  -- INDEX 529
616
        B"00100011" when B"1000010010",  -- INDEX 530
617
        B"11110000" when B"1000010011",  -- INDEX 531
618
        B"11000001" when B"1000010100",  -- INDEX 532
619
        B"10011011" when B"1000010101",  -- INDEX 533
620
        B"10000100" when B"1000010110",  -- INDEX 534
621
        B"10000001" when B"1000010111",  -- INDEX 535
622
        B"10010010" when B"1000011000",  -- INDEX 536
623
        B"10110100" when B"1000011001",  -- INDEX 537
624
        B"11100001" when B"1000011010",  -- INDEX 538
625
        B"00010100" when B"1000011011",  -- INDEX 539
626
        B"01000011" when B"1000011100",  -- INDEX 540
627
        B"01101000" when B"1000011101",  -- INDEX 541
628
        B"01111101" when B"1000011110",  -- INDEX 542
629
        B"01111110" when B"1000011111",  -- INDEX 543
630
        B"01101100" when B"1000100000",  -- INDEX 544
631
        B"01001001" when B"1000100001",  -- INDEX 545
632
        B"00011011" when B"1000100010",  -- INDEX 546
633
        B"11101001" when B"1000100011",  -- INDEX 547
634
        B"10111010" when B"1000100100",  -- INDEX 548
635
        B"10010110" when B"1000100101",  -- INDEX 549
636
        B"10000010" when B"1000100110",  -- INDEX 550
637
        B"10000011" when B"1000100111",  -- INDEX 551
638
        B"10010110" when B"1000101000",  -- INDEX 552
639
        B"10111010" when B"1000101001",  -- INDEX 553
640
        B"11101001" when B"1000101010",  -- INDEX 554
641
        B"00011011" when B"1000101011",  -- INDEX 555
642
        B"01001010" when B"1000101100",  -- INDEX 556
643
        B"01101100" when B"1000101101",  -- INDEX 557
644
        B"01111110" when B"1000101110",  -- INDEX 558
645
        B"01111101" when B"1000101111",  -- INDEX 559
646
        B"01101000" when B"1000110000",  -- INDEX 560
647
        B"01000010" when B"1000110001",  -- INDEX 561
648
        B"00010011" when B"1000110010",  -- INDEX 562
649
        B"11100001" when B"1000110011",  -- INDEX 563
650
        B"10110011" when B"1000110100",  -- INDEX 564
651
        B"10010010" when B"1000110101",  -- INDEX 565
652
        B"10000001" when B"1000110110",  -- INDEX 566
653
        B"10000100" when B"1000110111",  -- INDEX 567
654
        B"10011011" when B"1000111000",  -- INDEX 568
655
        B"11000001" when B"1000111001",  -- INDEX 569
656
        B"11110001" when B"1000111010",  -- INDEX 570
657
        B"00100011" when B"1000111011",  -- INDEX 571
658
        B"01010000" when B"1000111100",  -- INDEX 572
659
        B"01110000" when B"1000111101",  -- INDEX 573
660
        B"01111111" when B"1000111110",  -- INDEX 574
661
        B"01111010" when B"1000111111",  -- INDEX 575
662
        B"01100011" when B"1001000000",  -- INDEX 576
663
        B"00111011" when B"1001000001",  -- INDEX 577
664
        B"00001011" when B"1001000010",  -- INDEX 578
665
        B"11011001" when B"1001000011",  -- INDEX 579
666
        B"10101101" when B"1001000100",  -- INDEX 580
667
        B"10001110" when B"1001000101",  -- INDEX 581
668
        B"10000000" when B"1001000110",  -- INDEX 582
669
        B"10000111" when B"1001000111",  -- INDEX 583
670
        B"10100000" when B"1001001000",  -- INDEX 584
671
        B"11001000" when B"1001001001",  -- INDEX 585
672
        B"11111001" when B"1001001010",  -- INDEX 586
673
        B"00101011" when B"1001001011",  -- INDEX 587
674
        B"01010110" when B"1001001100",  -- INDEX 588
675
        B"01110100" when B"1001001101",  -- INDEX 589
676
        B"01111111" when B"1001001110",  -- INDEX 590
677
        B"01111000" when B"1001001111",  -- INDEX 591
678
        B"01011101" when B"1001010000",  -- INDEX 592
679
        B"00110100" when B"1001010001",  -- INDEX 593
680
        B"00000011" when B"1001010010",  -- INDEX 594
681
        B"11010001" when B"1001010011",  -- INDEX 595
682
        B"10100111" when B"1001010100",  -- INDEX 596
683
        B"10001010" when B"1001010101",  -- INDEX 597
684
        B"10000000" when B"1001010110",  -- INDEX 598
685
        B"10001010" when B"1001010111",  -- INDEX 599
686
        B"10100101" when B"1001011000",  -- INDEX 600
687
        B"11001111" when B"1001011001",  -- INDEX 601
688
        B"11111111" when B"1001011010",  -- INDEX 602
689
        B"00110000" when B"1001011011",  -- INDEX 603
690
        B"01011001" when B"1001011100",  -- INDEX 604
691
        B"01110101" when B"1001011101",  -- INDEX 605
692
        B"01111111" when B"1001011110",  -- INDEX 606
693
        B"01111000" when B"1001011111",  -- INDEX 607
694
        B"01011101" when B"1001100000",  -- INDEX 608
695
        B"00110101" when B"1001100001",  -- INDEX 609
696
        B"00000101" when B"1001100010",  -- INDEX 610
697
        B"11010100" when B"1001100011",  -- INDEX 611
698
        B"10101010" when B"1001100100",  -- INDEX 612
699
        B"10001100" when B"1001100101",  -- INDEX 613
700
        B"10000000" when B"1001100110",  -- INDEX 614
701
        B"10000111" when B"1001100111",  -- INDEX 615
702
        B"10100000" when B"1001101000",  -- INDEX 616
703
        B"11000111" when B"1001101001",  -- INDEX 617
704
        B"11110111" when B"1001101010",  -- INDEX 618
705
        B"00101000" when B"1001101011",  -- INDEX 619
706
        B"01010011" when B"1001101100",  -- INDEX 620
707
        B"01110010" when B"1001101101",  -- INDEX 621
708
        B"01111111" when B"1001101110",  -- INDEX 622
709
        B"01111010" when B"1001101111",  -- INDEX 623
710
        B"01100011" when B"1001110000",  -- INDEX 624
711
        B"00111100" when B"1001110001",  -- INDEX 625
712
        B"00001101" when B"1001110010",  -- INDEX 626
713
        B"11011100" when B"1001110011",  -- INDEX 627
714
        B"10110000" when B"1001110100",  -- INDEX 628
715
        B"10010000" when B"1001110101",  -- INDEX 629
716
        B"10000001" when B"1001110110",  -- INDEX 630
717
        B"10000101" when B"1001110111",  -- INDEX 631
718
        B"10011011" when B"1001111000",  -- INDEX 632
719
        B"11000000" when B"1001111001",  -- INDEX 633
720
        B"11101111" when B"1001111010",  -- INDEX 634
721
        B"00100000" when B"1001111011",  -- INDEX 635
722
        B"01001101" when B"1001111100",  -- INDEX 636
723
        B"01101110" when B"1001111101",  -- INDEX 637
724
        B"01111111" when B"1001111110",  -- INDEX 638
725
        B"01111100" when B"1001111111",  -- INDEX 639
726
        B"01101000" when B"1010000000",  -- INDEX 640
727
        B"01000011" when B"1010000001",  -- INDEX 641
728
        B"00010101" when B"1010000010",  -- INDEX 642
729
        B"11100100" when B"1010000011",  -- INDEX 643
730
        B"10110110" when B"1010000100",  -- INDEX 644
731
        B"10010100" when B"1010000101",  -- INDEX 645
732
        B"10000010" when B"1010000110",  -- INDEX 646
733
        B"10000011" when B"1010000111",  -- INDEX 647
734
        B"10010110" when B"1010001000",  -- INDEX 648
735
        B"10111001" when B"1010001001",  -- INDEX 649
736
        B"11100111" when B"1010001010",  -- INDEX 650
737
        B"00011000" when B"1010001011",  -- INDEX 651
738
        B"01000110" when B"1010001100",  -- INDEX 652
739
        B"01101010" when B"1010001101",  -- INDEX 653
740
        B"01111101" when B"1010001110",  -- INDEX 654
741
        B"01111110" when B"1010001111",  -- INDEX 655
742
        B"01101100" when B"1010010000",  -- INDEX 656
743
        B"01001010" when B"1010010001",  -- INDEX 657
744
        B"00011101" when B"1010010010",  -- INDEX 658
745
        B"11101011" when B"1010010011",  -- INDEX 659
746
        B"10111101" when B"1010010100",  -- INDEX 660
747
        B"10011001" when B"1010010101",  -- INDEX 661
748
        B"10000100" when B"1010010110",  -- INDEX 662
749
        B"10000001" when B"1010010111",  -- INDEX 663
750
        B"10010010" when B"1010011000",  -- INDEX 664
751
        B"10110011" when B"1010011001",  -- INDEX 665
752
        B"11011111" when B"1010011010",  -- INDEX 666
753
        B"00010001" when B"1010011011",  -- INDEX 667
754
        B"00111111" when B"1010011100",  -- INDEX 668
755
        B"01100101" when B"1010011101",  -- INDEX 669
756
        B"01111011" when B"1010011110",  -- INDEX 670
757
        B"01111111" when B"1010011111",  -- INDEX 671
758
        B"01110000" when B"1010100000",  -- INDEX 672
759
        B"01010000" when B"1010100001",  -- INDEX 673
760
        B"00100101" when B"1010100010",  -- INDEX 674
761
        B"11110011" when B"1010100011",  -- INDEX 675
762
        B"11000100" when B"1010100100",  -- INDEX 676
763
        B"10011110" when B"1010100101",  -- INDEX 677
764
        B"10000110" when B"1010100110",  -- INDEX 678
765
        B"10000000" when B"1010100111",  -- INDEX 679
766
        B"10001110" when B"1010101000",  -- INDEX 680
767
        B"10101100" when B"1010101001",  -- INDEX 681
768
        B"11010111" when B"1010101010",  -- INDEX 682
769
        B"00001001" when B"1010101011",  -- INDEX 683
770
        B"00111000" when B"1010101100",  -- INDEX 684
771
        B"01100000" when B"1010101101",  -- INDEX 685
772
        B"01111001" when B"1010101110",  -- INDEX 686
773
        B"01111111" when B"1010101111",  -- INDEX 687
774
        B"01110100" when B"1010110000",  -- INDEX 688
775
        B"01010111" when B"1010110001",  -- INDEX 689
776
        B"00101100" when B"1010110010",  -- INDEX 690
777
        B"11111011" when B"1010110011",  -- INDEX 691
778
        B"11001011" when B"1010110100",  -- INDEX 692
779
        B"10100011" when B"1010110101",  -- INDEX 693
780
        B"10001001" when B"1010110110",  -- INDEX 694
781
        B"10000000" when B"1010110111",  -- INDEX 695
782
        B"10001011" when B"1010111000",  -- INDEX 696
783
        B"10100111" when B"1010111001",  -- INDEX 697
784
        B"11010000" when B"1010111010",  -- INDEX 698
785
        B"00000001" when B"1010111011",  -- INDEX 699
786
        B"00110001" when B"1010111100",  -- INDEX 700
787
        B"01011010" when B"1010111101",  -- INDEX 701
788
        B"01110110" when B"1010111110",  -- INDEX 702
789
        B"01111111" when B"1010111111",  -- INDEX 703
790
        B"01110111" when B"1011000000",  -- INDEX 704
791
        B"01011100" when B"1011000001",  -- INDEX 705
792
        B"00110100" when B"1011000010",  -- INDEX 706
793
        B"00000100" when B"1011000011",  -- INDEX 707
794
        B"11010011" when B"1011000100",  -- INDEX 708
795
        B"10101001" when B"1011000101",  -- INDEX 709
796
        B"10001100" when B"1011000110",  -- INDEX 710
797
        B"10000000" when B"1011000111",  -- INDEX 711
798
        B"10001000" when B"1011001000",  -- INDEX 712
799
        B"10100001" when B"1011001001",  -- INDEX 713
800
        B"11001001" when B"1011001010",  -- INDEX 714
801
        B"11111000" when B"1011001011",  -- INDEX 715
802
        B"00101001" when B"1011001100",  -- INDEX 716
803
        B"01010100" when B"1011001101",  -- INDEX 717
804
        B"01110011" when B"1011001110",  -- INDEX 718
805
        B"01111111" when B"1011001111",  -- INDEX 719
806
        B"01111010" when B"1011010000",  -- INDEX 720
807
        B"01100010" when B"1011010001",  -- INDEX 721
808
        B"00111011" when B"1011010010",  -- INDEX 722
809
        B"00001100" when B"1011010011",  -- INDEX 723
810
        B"11011010" when B"1011010100",  -- INDEX 724
811
        B"10101111" when B"1011010101",  -- INDEX 725
812
        B"10001111" when B"1011010110",  -- INDEX 726
813
        B"10000001" when B"1011010111",  -- INDEX 727
814
        B"10000101" when B"1011011000",  -- INDEX 728
815
        B"10011100" when B"1011011001",  -- INDEX 729
816
        B"11000001" when B"1011011010",  -- INDEX 730
817
        B"11110000" when B"1011011011",  -- INDEX 731
818
        B"00100010" when B"1011011100",  -- INDEX 732
819
        B"01001110" when B"1011011101",  -- INDEX 733
820
        B"01101111" when B"1011011110",  -- INDEX 734
821
        B"01111111" when B"1011011111",  -- INDEX 735
822
        B"01111100" when B"1011100000",  -- INDEX 736
823
        B"01100111" when B"1011100001",  -- INDEX 737
824
        B"01000010" when B"1011100010",  -- INDEX 738
825
        B"00010100" when B"1011100011",  -- INDEX 739
826
        B"11100010" when B"1011100100",  -- INDEX 740
827
        B"10110101" when B"1011100101",  -- INDEX 741
828
        B"10010011" when B"1011100110",  -- INDEX 742
829
        B"10000010" when B"1011100111",  -- INDEX 743
830
        B"10000011" when B"1011101000",  -- INDEX 744
831
        B"10010111" when B"1011101001",  -- INDEX 745
832
        B"10111011" when B"1011101010",  -- INDEX 746
833
        B"11101001" when B"1011101011",  -- INDEX 747
834
        B"00011010" when B"1011101100",  -- INDEX 748
835
        B"01001000" when B"1011101101",  -- INDEX 749
836
        B"01101010" when B"1011101110",  -- INDEX 750
837
        B"01111101" when B"1011101111",  -- INDEX 751
838
        B"01111110" when B"1011110000",  -- INDEX 752
839
        B"01101011" when B"1011110001",  -- INDEX 753
840
        B"01001001" when B"1011110010",  -- INDEX 754
841
        B"00011011" when B"1011110011",  -- INDEX 755
842
        B"11101010" when B"1011110100",  -- INDEX 756
843
        B"10111100" when B"1011110101",  -- INDEX 757
844
        B"10011000" when B"1011110110",  -- INDEX 758
845
        B"10000011" when B"1011110111",  -- INDEX 759
846
        B"10000010" when B"1011111000",  -- INDEX 760
847
        B"10010011" when B"1011111001",  -- INDEX 761
848
        B"10110100" when B"1011111010",  -- INDEX 762
849
        B"11100001" when B"1011111011",  -- INDEX 763
850
        B"00010010" when B"1011111100",  -- INDEX 764
851
        B"01000001" when B"1011111101",  -- INDEX 765
852
        B"01100110" when B"1011111110",  -- INDEX 766
853
        B"01111100" when B"1011111111",  -- INDEX 767
854
        B"01111111" when B"1100000000",  -- INDEX 768
855
        B"01101111" when B"1100000001",  -- INDEX 769
856
        B"01001111" when B"1100000010",  -- INDEX 770
857
        B"00100011" when B"1100000011",  -- INDEX 771
858
        B"11110010" when B"1100000100",  -- INDEX 772
859
        B"11000011" when B"1100000101",  -- INDEX 773
860
        B"10011101" when B"1100000110",  -- INDEX 774
861
        B"10000110" when B"1100000111",  -- INDEX 775
862
        B"10000001" when B"1100001000",  -- INDEX 776
863
        B"10001111" when B"1100001001",  -- INDEX 777
864
        B"10101110" when B"1100001010",  -- INDEX 778
865
        B"11011001" when B"1100001011",  -- INDEX 779
866
        B"00001010" when B"1100001100",  -- INDEX 780
867
        B"00111010" when B"1100001101",  -- INDEX 781
868
        B"01100001" when B"1100001110",  -- INDEX 782
869
        B"01111001" when B"1100001111",  -- INDEX 783
870
        B"01111111" when B"1100010000",  -- INDEX 784
871
        B"01110011" when B"1100010001",  -- INDEX 785
872
        B"01010101" when B"1100010010",  -- INDEX 786
873
        B"00101011" when B"1100010011",  -- INDEX 787
874
        B"11111010" when B"1100010100",  -- INDEX 788
875
        B"11001010" when B"1100010101",  -- INDEX 789
876
        B"10100010" when B"1100010110",  -- INDEX 790
877
        B"10001000" when B"1100010111",  -- INDEX 791
878
        B"10000000" when B"1100011000",  -- INDEX 792
879
        B"10001011" when B"1100011001",  -- INDEX 793
880
        B"10101000" when B"1100011010",  -- INDEX 794
881
        B"11010001" when B"1100011011",  -- INDEX 795
882
        B"00000010" when B"1100011100",  -- INDEX 796
883
        B"00110010" when B"1100011101",  -- INDEX 797
884
        B"01011011" when B"1100011110",  -- INDEX 798
885
        B"01110110" when B"1100011111",  -- INDEX 799
886
        B"01111111" when B"1100100000",  -- INDEX 800
887
        B"01110110" when B"1100100001",  -- INDEX 801
888
        B"01011010" when B"1100100010",  -- INDEX 802
889
        B"00110000" when B"1100100011",  -- INDEX 803
890
        B"11111110" when B"1100100100",  -- INDEX 804
891
        B"11001101" when B"1100100101",  -- INDEX 805
892
        B"10100011" when B"1100100110",  -- INDEX 806
893
        B"10001000" when B"1100100111",  -- INDEX 807
894
        B"10000000" when B"1100101000",  -- INDEX 808
895
        B"10001100" when B"1100101001",  -- INDEX 809
896
        B"10101001" when B"1100101010",  -- INDEX 810
897
        B"11010100" when B"1100101011",  -- INDEX 811
898
        B"00000110" when B"1100101100",  -- INDEX 812
899
        B"00110111" when B"1100101101",  -- INDEX 813
900
        B"01011111" when B"1100101110",  -- INDEX 814
901
        B"01111001" when B"1100101111",  -- INDEX 815
902
        B"01111111" when B"1100110000",  -- INDEX 816
903
        B"01110011" when B"1100110001",  -- INDEX 817
904
        B"01010100" when B"1100110010",  -- INDEX 818
905
        B"00101000" when B"1100110011",  -- INDEX 819
906
        B"11110110" when B"1100110100",  -- INDEX 820
907
        B"11000101" when B"1100110101",  -- INDEX 821
908
        B"10011110" when B"1100110110",  -- INDEX 822
909
        B"10000110" when B"1100110111",  -- INDEX 823
910
        B"10000001" when B"1100111000",  -- INDEX 824
911
        B"10001111" when B"1100111001",  -- INDEX 825
912
        B"10101111" when B"1100111010",  -- INDEX 826
913
        B"11011100" when B"1100111011",  -- INDEX 827
914
        B"00001110" when B"1100111100",  -- INDEX 828
915
        B"00111110" when B"1100111101",  -- INDEX 829
916
        B"01100101" when B"1100111110",  -- INDEX 830
917
        B"01111011" when B"1100111111",  -- INDEX 831
918
        B"01111111" when B"1101000000",  -- INDEX 832
919
        B"01101111" when B"1101000001",  -- INDEX 833
920
        B"01001110" when B"1101000010",  -- INDEX 834
921
        B"00100000" when B"1101000011",  -- INDEX 835
922
        B"11101110" when B"1101000100",  -- INDEX 836
923
        B"10111110" when B"1101000101",  -- INDEX 837
924
        B"10011001" when B"1101000110",  -- INDEX 838
925
        B"10000100" when B"1101000111",  -- INDEX 839
926
        B"10000010" when B"1101001000",  -- INDEX 840
927
        B"10010011" when B"1101001001",  -- INDEX 841
928
        B"10110110" when B"1101001010",  -- INDEX 842
929
        B"11100100" when B"1101001011",  -- INDEX 843
930
        B"00010110" when B"1101001100",  -- INDEX 844
931
        B"01000101" when B"1101001101",  -- INDEX 845
932
        B"01101001" when B"1101001110",  -- INDEX 846
933
        B"01111101" when B"1101001111",  -- INDEX 847
934
        B"01111110" when B"1101010000",  -- INDEX 848
935
        B"01101011" when B"1101010001",  -- INDEX 849
936
        B"01000111" when B"1101010010",  -- INDEX 850
937
        B"00011000" when B"1101010011",  -- INDEX 851
938
        B"11100110" when B"1101010100",  -- INDEX 852
939
        B"10111000" when B"1101010101",  -- INDEX 853
940
        B"10010100" when B"1101010110",  -- INDEX 854
941
        B"10000010" when B"1101010111",  -- INDEX 855
942
        B"10000011" when B"1101011000",  -- INDEX 856
943
        B"10011000" when B"1101011001",  -- INDEX 857
944
        B"10111100" when B"1101011010",  -- INDEX 858
945
        B"11101011" when B"1101011011",  -- INDEX 859
946
        B"00011110" when B"1101011100",  -- INDEX 860
947
        B"01001100" when B"1101011101",  -- INDEX 861
948
        B"01101110" when B"1101011110",  -- INDEX 862
949
        B"01111111" when B"1101011111",  -- INDEX 863
950
        B"01111100" when B"1101100000",  -- INDEX 864
951
        B"01100110" when B"1101100001",  -- INDEX 865
952
        B"01000000" when B"1101100010",  -- INDEX 866
953
        B"00010001" when B"1101100011",  -- INDEX 867
954
        B"11011110" when B"1101100100",  -- INDEX 868
955
        B"10110001" when B"1101100101",  -- INDEX 869
956
        B"10010000" when B"1101100110",  -- INDEX 870
957
        B"10000001" when B"1101100111",  -- INDEX 871
958
        B"10000101" when B"1101101000",  -- INDEX 872
959
        B"10011100" when B"1101101001",  -- INDEX 873
960
        B"11000011" when B"1101101010",  -- INDEX 874
961
        B"11110011" when B"1101101011",  -- INDEX 875
962
        B"00100110" when B"1101101100",  -- INDEX 876
963
        B"01010010" when B"1101101101",  -- INDEX 877
964
        B"01110010" when B"1101101110",  -- INDEX 878
965
        B"01111111" when B"1101101111",  -- INDEX 879
966
        B"01111010" when B"1101110000",  -- INDEX 880
967
        B"01100001" when B"1101110001",  -- INDEX 881
968
        B"00111001" when B"1101110010",  -- INDEX 882
969
        B"00001001" when B"1101110011",  -- INDEX 883
970
        B"11010111" when B"1101110100",  -- INDEX 884
971
        B"10101011" when B"1101110101",  -- INDEX 885
972
        B"10001101" when B"1101110110",  -- INDEX 886
973
        B"10000000" when B"1101110111",  -- INDEX 887
974
        B"10001000" when B"1101111000",  -- INDEX 888
975
        B"10100010" when B"1101111001",  -- INDEX 889
976
        B"11001010" when B"1101111010",  -- INDEX 890
977
        B"11111011" when B"1101111011",  -- INDEX 891
978
        B"00101101" when B"1101111100",  -- INDEX 892
979
        B"01011000" when B"1101111101",  -- INDEX 893
980
        B"01110101" when B"1101111110",  -- INDEX 894
981
        B"01111111" when B"1101111111",  -- INDEX 895
982
        B"01110111" when B"1110000000",  -- INDEX 896
983
        B"01011100" when B"1110000001",  -- INDEX 897
984
        B"00110010" when B"1110000010",  -- INDEX 898
985
        B"00000001" when B"1110000011",  -- INDEX 899
986
        B"11001111" when B"1110000100",  -- INDEX 900
987
        B"10100101" when B"1110000101",  -- INDEX 901
988
        B"10001001" when B"1110000110",  -- INDEX 902
989
        B"10000000" when B"1110000111",  -- INDEX 903
990
        B"10001011" when B"1110001000",  -- INDEX 904
991
        B"10100111" when B"1110001001",  -- INDEX 905
992
        B"11010010" when B"1110001010",  -- INDEX 906
993
        B"00000100" when B"1110001011",  -- INDEX 907
994
        B"00110101" when B"1110001100",  -- INDEX 908
995
        B"01011110" when B"1110001101",  -- INDEX 909
996
        B"01111000" when B"1110001110",  -- INDEX 910
997
        B"01111111" when B"1110001111",  -- INDEX 911
998
        B"01110100" when B"1110010000",  -- INDEX 912
999
        B"01010110" when B"1110010001",  -- INDEX 913
1000
        B"00101010" when B"1110010010",  -- INDEX 914
1001
        B"11111000" when B"1110010011",  -- INDEX 915
1002
        B"11001000" when B"1110010100",  -- INDEX 916
1003
        B"10100000" when B"1110010101",  -- INDEX 917
1004
        B"10000111" when B"1110010110",  -- INDEX 918
1005
        B"10000000" when B"1110010111",  -- INDEX 919
1006
        B"10001110" when B"1110011000",  -- INDEX 920
1007
        B"10101101" when B"1110011001",  -- INDEX 921
1008
        B"11011001" when B"1110011010",  -- INDEX 922
1009
        B"00001100" when B"1110011011",  -- INDEX 923
1010
        B"00111100" when B"1110011100",  -- INDEX 924
1011
        B"01100011" when B"1110011101",  -- INDEX 925
1012
        B"01111011" when B"1110011110",  -- INDEX 926
1013
        B"01111111" when B"1110011111",  -- INDEX 927
1014
        B"01110000" when B"1110100000",  -- INDEX 928
1015
        B"01010000" when B"1110100001",  -- INDEX 929
1016
        B"00100011" when B"1110100010",  -- INDEX 930
1017
        B"11110000" when B"1110100011",  -- INDEX 931
1018
        B"11000001" when B"1110100100",  -- INDEX 932
1019
        B"10011011" when B"1110100101",  -- INDEX 933
1020
        B"10000100" when B"1110100110",  -- INDEX 934
1021
        B"10000001" when B"1110100111",  -- INDEX 935
1022
        B"10010010" when B"1110101000",  -- INDEX 936
1023
        B"10110100" when B"1110101001",  -- INDEX 937
1024
        B"11100001" when B"1110101010",  -- INDEX 938
1025
        B"00010100" when B"1110101011",  -- INDEX 939
1026
        B"01000011" when B"1110101100",  -- INDEX 940
1027
        B"01101000" when B"1110101101",  -- INDEX 941
1028
        B"01111101" when B"1110101110",  -- INDEX 942
1029
        B"01111110" when B"1110101111",  -- INDEX 943
1030
        B"01101100" when B"1110110000",  -- INDEX 944
1031
        B"01001001" when B"1110110001",  -- INDEX 945
1032
        B"00011011" when B"1110110010",  -- INDEX 946
1033
        B"11101001" when B"1110110011",  -- INDEX 947
1034
        B"10111010" when B"1110110100",  -- INDEX 948
1035
        B"10010110" when B"1110110101",  -- INDEX 949
1036
        B"10000010" when B"1110110110",  -- INDEX 950
1037
        B"10000011" when B"1110110111",  -- INDEX 951
1038
        B"10010110" when B"1110111000",  -- INDEX 952
1039
        B"10111010" when B"1110111001",  -- INDEX 953
1040
        B"11101001" when B"1110111010",  -- INDEX 954
1041
        B"00011011" when B"1110111011",  -- INDEX 955
1042
        B"01001010" when B"1110111100",  -- INDEX 956
1043
        B"01101100" when B"1110111101",  -- INDEX 957
1044
        B"01111110" when B"1110111110",  -- INDEX 958
1045
        B"01111101" when B"1110111111",  -- INDEX 959
1046
        B"01101000" when B"1111000000",  -- INDEX 960
1047
        B"01000010" when B"1111000001",  -- INDEX 961
1048
        B"00010011" when B"1111000010",  -- INDEX 962
1049
        B"11100001" when B"1111000011",  -- INDEX 963
1050
        B"10110011" when B"1111000100",  -- INDEX 964
1051
        B"10010010" when B"1111000101",  -- INDEX 965
1052
        B"10000001" when B"1111000110",  -- INDEX 966
1053
        B"10000100" when B"1111000111",  -- INDEX 967
1054
        B"10011011" when B"1111001000",  -- INDEX 968
1055
        B"11000001" when B"1111001001",  -- INDEX 969
1056
        B"11110001" when B"1111001010",  -- INDEX 970
1057
        B"00100011" when B"1111001011",  -- INDEX 971
1058
        B"01010000" when B"1111001100",  -- INDEX 972
1059
        B"01110000" when B"1111001101",  -- INDEX 973
1060
        B"01111111" when B"1111001110",  -- INDEX 974
1061
        B"01111010" when B"1111001111",  -- INDEX 975
1062
        B"01100011" when B"1111010000",  -- INDEX 976
1063
        B"00111011" when B"1111010001",  -- INDEX 977
1064
        B"00001011" when B"1111010010",  -- INDEX 978
1065
        B"11011001" when B"1111010011",  -- INDEX 979
1066
        B"10101101" when B"1111010100",  -- INDEX 980
1067
        B"10001110" when B"1111010101",  -- INDEX 981
1068
        B"10000000" when B"1111010110",  -- INDEX 982
1069
        B"10000111" when B"1111010111",  -- INDEX 983
1070
        B"10100000" when B"1111011000",  -- INDEX 984
1071
        B"11001000" when B"1111011001",  -- INDEX 985
1072
        B"11111001" when B"1111011010",  -- INDEX 986
1073
        B"00101011" when B"1111011011",  -- INDEX 987
1074
        B"01010110" when B"1111011100",  -- INDEX 988
1075
        B"01110100" when B"1111011101",  -- INDEX 989
1076
        B"01111111" when B"1111011110",  -- INDEX 990
1077
        B"01111000" when B"1111011111",  -- INDEX 991
1078
        B"01011101" when B"1111100000",  -- INDEX 992
1079
        B"00110100" when B"1111100001",  -- INDEX 993
1080
        B"00000011" when B"1111100010",  -- INDEX 994
1081
        B"11010001" when B"1111100011",  -- INDEX 995
1082
        B"10100111" when B"1111100100",  -- INDEX 996
1083
        B"10001010" when B"1111100101",  -- INDEX 997
1084
        B"10000000" when B"1111100110",  -- INDEX 998
1085
        B"10001010" when B"1111100111",  -- INDEX 999
1086
 
1087
-- END INPUT FM SIGNAL
1088
        B"00000000" when others;
1089
 
1090
        with counter (09 downto 0) select
1091
        test_signal_fmTri_int <=
1092
-- START INPUT FM-TRI SIGNAL
1093
 
1094
        B"01111111" when B"0000000000",  -- INDEX 0
1095
        B"01110110" when B"0000000001",  -- INDEX 1
1096
        B"01011011" when B"0000000010",  -- INDEX 2
1097
        B"00110010" when B"0000000011",  -- INDEX 3
1098
        B"00000010" when B"0000000100",  -- INDEX 4
1099
        B"11010001" when B"0000000101",  -- INDEX 5
1100
        B"10101000" when B"0000000110",  -- INDEX 6
1101
        B"10001011" when B"0000000111",  -- INDEX 7
1102
        B"10000000" when B"0000001000",  -- INDEX 8
1103
        B"10001000" when B"0000001001",  -- INDEX 9
1104
        B"10100010" when B"0000001010",  -- INDEX 10
1105
        B"11001010" when B"0000001011",  -- INDEX 11
1106
        B"11111010" when B"0000001100",  -- INDEX 12
1107
        B"00101011" when B"0000001101",  -- INDEX 13
1108
        B"01010110" when B"0000001110",  -- INDEX 14
1109
        B"01110011" when B"0000001111",  -- INDEX 15
1110
        B"01111111" when B"0000010000",  -- INDEX 16
1111
        B"01111001" when B"0000010001",  -- INDEX 17
1112
        B"01100000" when B"0000010010",  -- INDEX 18
1113
        B"00111001" when B"0000010011",  -- INDEX 19
1114
        B"00001001" when B"0000010100",  -- INDEX 20
1115
        B"11011000" when B"0000010101",  -- INDEX 21
1116
        B"10101101" when B"0000010110",  -- INDEX 22
1117
        B"10001110" when B"0000010111",  -- INDEX 23
1118
        B"10000000" when B"0000011000",  -- INDEX 24
1119
        B"10000110" when B"0000011001",  -- INDEX 25
1120
        B"10011110" when B"0000011010",  -- INDEX 26
1121
        B"11000100" when B"0000011011",  -- INDEX 27
1122
        B"11110100" when B"0000011100",  -- INDEX 28
1123
        B"00100101" when B"0000011101",  -- INDEX 29
1124
        B"01010001" when B"0000011110",  -- INDEX 30
1125
        B"01110001" when B"0000011111",  -- INDEX 31
1126
        B"01111111" when B"0000100000",  -- INDEX 32
1127
        B"01111011" when B"0000100001",  -- INDEX 33
1128
        B"01100100" when B"0000100010",  -- INDEX 34
1129
        B"00111110" when B"0000100011",  -- INDEX 35
1130
        B"00001111" when B"0000100100",  -- INDEX 36
1131
        B"11011101" when B"0000100101",  -- INDEX 37
1132
        B"10110001" when B"0000100110",  -- INDEX 38
1133
        B"10010001" when B"0000100111",  -- INDEX 39
1134
        B"10000001" when B"0000101000",  -- INDEX 40
1135
        B"10000100" when B"0000101001",  -- INDEX 41
1136
        B"10011010" when B"0000101010",  -- INDEX 42
1137
        B"11000000" when B"0000101011",  -- INDEX 43
1138
        B"11101111" when B"0000101100",  -- INDEX 44
1139
        B"00100000" when B"0000101101",  -- INDEX 45
1140
        B"01001101" when B"0000101110",  -- INDEX 46
1141
        B"01101110" when B"0000101111",  -- INDEX 47
1142
        B"01111111" when B"0000110000",  -- INDEX 48
1143
        B"01111100" when B"0000110001",  -- INDEX 49
1144
        B"01100111" when B"0000110010",  -- INDEX 50
1145
        B"01000010" when B"0000110011",  -- INDEX 51
1146
        B"00010011" when B"0000110100",  -- INDEX 52
1147
        B"11100010" when B"0000110101",  -- INDEX 53
1148
        B"10110101" when B"0000110110",  -- INDEX 54
1149
        B"10010011" when B"0000110111",  -- INDEX 55
1150
        B"10000010" when B"0000111000",  -- INDEX 56
1151
        B"10000011" when B"0000111001",  -- INDEX 57
1152
        B"10011000" when B"0000111010",  -- INDEX 58
1153
        B"10111100" when B"0000111011",  -- INDEX 59
1154
        B"11101011" when B"0000111100",  -- INDEX 60
1155
        B"00011101" when B"0000111101",  -- INDEX 61
1156
        B"01001010" when B"0000111110",  -- INDEX 62
1157
        B"01101100" when B"0000111111",  -- INDEX 63
1158
        B"01111110" when B"0001000000",  -- INDEX 64
1159
        B"01111101" when B"0001000001",  -- INDEX 65
1160
        B"01101001" when B"0001000010",  -- INDEX 66
1161
        B"01000101" when B"0001000011",  -- INDEX 67
1162
        B"00010111" when B"0001000100",  -- INDEX 68
1163
        B"11100101" when B"0001000101",  -- INDEX 69
1164
        B"10110111" when B"0001000110",  -- INDEX 70
1165
        B"10010101" when B"0001000111",  -- INDEX 71
1166
        B"10000010" when B"0001001000",  -- INDEX 72
1167
        B"10000011" when B"0001001001",  -- INDEX 73
1168
        B"10010110" when B"0001001010",  -- INDEX 74
1169
        B"10111010" when B"0001001011",  -- INDEX 75
1170
        B"11101000" when B"0001001100",  -- INDEX 76
1171
        B"00011010" when B"0001001101",  -- INDEX 77
1172
        B"01001000" when B"0001001110",  -- INDEX 78
1173
        B"01101011" when B"0001001111",  -- INDEX 79
1174
        B"01111110" when B"0001010000",  -- INDEX 80
1175
        B"01111101" when B"0001010001",  -- INDEX 81
1176
        B"01101010" when B"0001010010",  -- INDEX 82
1177
        B"01000111" when B"0001010011",  -- INDEX 83
1178
        B"00011001" when B"0001010100",  -- INDEX 84
1179
        B"11100111" when B"0001010101",  -- INDEX 85
1180
        B"10111001" when B"0001010110",  -- INDEX 86
1181
        B"10010101" when B"0001010111",  -- INDEX 87
1182
        B"10000010" when B"0001011000",  -- INDEX 88
1183
        B"10000010" when B"0001011001",  -- INDEX 89
1184
        B"10010110" when B"0001011010",  -- INDEX 90
1185
        B"10111001" when B"0001011011",  -- INDEX 91
1186
        B"11100111" when B"0001011100",  -- INDEX 92
1187
        B"00011001" when B"0001011101",  -- INDEX 93
1188
        B"01000111" when B"0001011110",  -- INDEX 94
1189
        B"01101010" when B"0001011111",  -- INDEX 95
1190
        B"01111110" when B"0001100000",  -- INDEX 96
1191
        B"01111110" when B"0001100001",  -- INDEX 97
1192
        B"01101011" when B"0001100010",  -- INDEX 98
1193
        B"01000111" when B"0001100011",  -- INDEX 99
1194
        B"00011001" when B"0001100100",  -- INDEX 100
1195
        B"11100111" when B"0001100101",  -- INDEX 101
1196
        B"10111001" when B"0001100110",  -- INDEX 102
1197
        B"10010110" when B"0001100111",  -- INDEX 103
1198
        B"10000011" when B"0001101000",  -- INDEX 104
1199
        B"10000010" when B"0001101001",  -- INDEX 105
1200
        B"10010101" when B"0001101010",  -- INDEX 106
1201
        B"10111001" when B"0001101011",  -- INDEX 107
1202
        B"11100111" when B"0001101100",  -- INDEX 108
1203
        B"00011001" when B"0001101101",  -- INDEX 109
1204
        B"01000111" when B"0001101110",  -- INDEX 110
1205
        B"01101010" when B"0001101111",  -- INDEX 111
1206
        B"01111110" when B"0001110000",  -- INDEX 112
1207
        B"01111110" when B"0001110001",  -- INDEX 113
1208
        B"01101010" when B"0001110010",  -- INDEX 114
1209
        B"01000111" when B"0001110011",  -- INDEX 115
1210
        B"00011001" when B"0001110100",  -- INDEX 116
1211
        B"11100111" when B"0001110101",  -- INDEX 117
1212
        B"10111000" when B"0001110110",  -- INDEX 118
1213
        B"10010101" when B"0001110111",  -- INDEX 119
1214
        B"10000010" when B"0001111000",  -- INDEX 120
1215
        B"10000011" when B"0001111001",  -- INDEX 121
1216
        B"10010110" when B"0001111010",  -- INDEX 122
1217
        B"10111010" when B"0001111011",  -- INDEX 123
1218
        B"11101000" when B"0001111100",  -- INDEX 124
1219
        B"00011010" when B"0001111101",  -- INDEX 125
1220
        B"01001000" when B"0001111110",  -- INDEX 126
1221
        B"01101011" when B"0001111111",  -- INDEX 127
1222
        B"01111110" when B"0010000000",  -- INDEX 128
1223
        B"01111101" when B"0010000001",  -- INDEX 129
1224
        B"01101001" when B"0010000010",  -- INDEX 130
1225
        B"01000101" when B"0010000011",  -- INDEX 131
1226
        B"00010111" when B"0010000100",  -- INDEX 132
1227
        B"11100101" when B"0010000101",  -- INDEX 133
1228
        B"10110111" when B"0010000110",  -- INDEX 134
1229
        B"10010100" when B"0010000111",  -- INDEX 135
1230
        B"10000010" when B"0010001000",  -- INDEX 136
1231
        B"10000011" when B"0010001001",  -- INDEX 137
1232
        B"10010111" when B"0010001010",  -- INDEX 138
1233
        B"10111100" when B"0010001011",  -- INDEX 139
1234
        B"11101011" when B"0010001100",  -- INDEX 140
1235
        B"00011101" when B"0010001101",  -- INDEX 141
1236
        B"01001010" when B"0010001110",  -- INDEX 142
1237
        B"01101101" when B"0010001111",  -- INDEX 143
1238
        B"01111110" when B"0010010000",  -- INDEX 144
1239
        B"01111101" when B"0010010001",  -- INDEX 145
1240
        B"01101000" when B"0010010010",  -- INDEX 146
1241
        B"01000011" when B"0010010011",  -- INDEX 147
1242
        B"00010100" when B"0010010100",  -- INDEX 148
1243
        B"11100010" when B"0010010101",  -- INDEX 149
1244
        B"10110100" when B"0010010110",  -- INDEX 150
1245
        B"10010010" when B"0010010111",  -- INDEX 151
1246
        B"10000001" when B"0010011000",  -- INDEX 152
1247
        B"10000100" when B"0010011001",  -- INDEX 153
1248
        B"10011010" when B"0010011010",  -- INDEX 154
1249
        B"10111111" when B"0010011011",  -- INDEX 155
1250
        B"11101110" when B"0010011100",  -- INDEX 156
1251
        B"00100001" when B"0010011101",  -- INDEX 157
1252
        B"01001110" when B"0010011110",  -- INDEX 158
1253
        B"01101111" when B"0010011111",  -- INDEX 159
1254
        B"01111111" when B"0010100000",  -- INDEX 160
1255
        B"01111011" when B"0010100001",  -- INDEX 161
1256
        B"01100101" when B"0010100010",  -- INDEX 162
1257
        B"00111111" when B"0010100011",  -- INDEX 163
1258
        B"00001111" when B"0010100100",  -- INDEX 164
1259
        B"11011101" when B"0010100101",  -- INDEX 165
1260
        B"10110000" when B"0010100110",  -- INDEX 166
1261
        B"10010000" when B"0010100111",  -- INDEX 167
1262
        B"10000001" when B"0010101000",  -- INDEX 168
1263
        B"10000101" when B"0010101001",  -- INDEX 169
1264
        B"10011101" when B"0010101010",  -- INDEX 170
1265
        B"11000011" when B"0010101011",  -- INDEX 171
1266
        B"11110011" when B"0010101100",  -- INDEX 172
1267
        B"00100110" when B"0010101101",  -- INDEX 173
1268
        B"01010010" when B"0010101110",  -- INDEX 174
1269
        B"01110001" when B"0010101111",  -- INDEX 175
1270
        B"01111111" when B"0010110000",  -- INDEX 176
1271
        B"01111010" when B"0010110001",  -- INDEX 177
1272
        B"01100001" when B"0010110010",  -- INDEX 178
1273
        B"00111010" when B"0010110011",  -- INDEX 179
1274
        B"00001001" when B"0010110100",  -- INDEX 180
1275
        B"11011000" when B"0010110101",  -- INDEX 181
1276
        B"10101100" when B"0010110110",  -- INDEX 182
1277
        B"10001101" when B"0010110111",  -- INDEX 183
1278
        B"10000000" when B"0010111000",  -- INDEX 184
1279
        B"10000111" when B"0010111001",  -- INDEX 185
1280
        B"10100001" when B"0010111010",  -- INDEX 186
1281
        B"11001001" when B"0010111011",  -- INDEX 187
1282
        B"11111010" when B"0010111100",  -- INDEX 188
1283
        B"00101100" when B"0010111101",  -- INDEX 189
1284
        B"01010111" when B"0010111110",  -- INDEX 190
1285
        B"01110100" when B"0010111111",  -- INDEX 191
1286
        B"01111111" when B"0011000000",  -- INDEX 192
1287
        B"01111000" when B"0011000001",  -- INDEX 193
1288
        B"01011101" when B"0011000010",  -- INDEX 194
1289
        B"00110100" when B"0011000011",  -- INDEX 195
1290
        B"00000010" when B"0011000100",  -- INDEX 196
1291
        B"11010001" when B"0011000101",  -- INDEX 197
1292
        B"10100111" when B"0011000110",  -- INDEX 198
1293
        B"10001010" when B"0011000111",  -- INDEX 199
1294
        B"10000000" when B"0011001000",  -- INDEX 200
1295
        B"10001010" when B"0011001001",  -- INDEX 201
1296
        B"10100110" when B"0011001010",  -- INDEX 202
1297
        B"11010000" when B"0011001011",  -- INDEX 203
1298
        B"00000001" when B"0011001100",  -- INDEX 204
1299
        B"00110011" when B"0011001101",  -- INDEX 205
1300
        B"01011100" when B"0011001110",  -- INDEX 206
1301
        B"01110111" when B"0011001111",  -- INDEX 207
1302
        B"01111111" when B"0011010000",  -- INDEX 208
1303
        B"01110101" when B"0011010001",  -- INDEX 209
1304
        B"01010111" when B"0011010010",  -- INDEX 210
1305
        B"00101101" when B"0011010011",  -- INDEX 211
1306
        B"11111011" when B"0011010100",  -- INDEX 212
1307
        B"11001010" when B"0011010101",  -- INDEX 213
1308
        B"10100001" when B"0011010110",  -- INDEX 214
1309
        B"10000111" when B"0011010111",  -- INDEX 215
1310
        B"10000000" when B"0011011000",  -- INDEX 216
1311
        B"10001101" when B"0011011001",  -- INDEX 217
1312
        B"10101011" when B"0011011010",  -- INDEX 218
1313
        B"11010111" when B"0011011011",  -- INDEX 219
1314
        B"00001001" when B"0011011100",  -- INDEX 220
1315
        B"00111001" when B"0011011101",  -- INDEX 221
1316
        B"01100001" when B"0011011110",  -- INDEX 222
1317
        B"01111010" when B"0011011111",  -- INDEX 223
1318
        B"01111111" when B"0011100000",  -- INDEX 224
1319
        B"01110010" when B"0011100001",  -- INDEX 225
1320
        B"01010010" when B"0011100010",  -- INDEX 226
1321
        B"00100110" when B"0011100011",  -- INDEX 227
1322
        B"11110100" when B"0011100100",  -- INDEX 228
1323
        B"11000100" when B"0011100101",  -- INDEX 229
1324
        B"10011101" when B"0011100110",  -- INDEX 230
1325
        B"10000101" when B"0011100111",  -- INDEX 231
1326
        B"10000001" when B"0011101000",  -- INDEX 232
1327
        B"10010000" when B"0011101001",  -- INDEX 233
1328
        B"10110000" when B"0011101010",  -- INDEX 234
1329
        B"11011100" when B"0011101011",  -- INDEX 235
1330
        B"00001110" when B"0011101100",  -- INDEX 236
1331
        B"00111110" when B"0011101101",  -- INDEX 237
1332
        B"01100101" when B"0011101110",  -- INDEX 238
1333
        B"01111011" when B"0011101111",  -- INDEX 239
1334
        B"01111111" when B"0011110000",  -- INDEX 240
1335
        B"01101111" when B"0011110001",  -- INDEX 241
1336
        B"01001110" when B"0011110010",  -- INDEX 242
1337
        B"00100001" when B"0011110011",  -- INDEX 243
1338
        B"11101111" when B"0011110100",  -- INDEX 244
1339
        B"11000000" when B"0011110101",  -- INDEX 245
1340
        B"10011010" when B"0011110110",  -- INDEX 246
1341
        B"10000100" when B"0011110111",  -- INDEX 247
1342
        B"10000001" when B"0011111000",  -- INDEX 248
1343
        B"10010010" when B"0011111001",  -- INDEX 249
1344
        B"10110011" when B"0011111010",  -- INDEX 250
1345
        B"11100001" when B"0011111011",  -- INDEX 251
1346
        B"00010011" when B"0011111100",  -- INDEX 252
1347
        B"01000010" when B"0011111101",  -- INDEX 253
1348
        B"01100111" when B"0011111110",  -- INDEX 254
1349
        B"01111100" when B"0011111111",  -- INDEX 255
1350
        B"01111110" when B"0100000000",  -- INDEX 256
1351
        B"01101101" when B"0100000001",  -- INDEX 257
1352
        B"01001011" when B"0100000010",  -- INDEX 258
1353
        B"00011101" when B"0100000011",  -- INDEX 259
1354
        B"11101011" when B"0100000100",  -- INDEX 260
1355
        B"10111100" when B"0100000101",  -- INDEX 261
1356
        B"10011000" when B"0100000110",  -- INDEX 262
1357
        B"10000011" when B"0100000111",  -- INDEX 263
1358
        B"10000010" when B"0100001000",  -- INDEX 264
1359
        B"10010100" when B"0100001001",  -- INDEX 265
1360
        B"10110110" when B"0100001010",  -- INDEX 266
1361
        B"11100100" when B"0100001011",  -- INDEX 267
1362
        B"00010110" when B"0100001100",  -- INDEX 268
1363
        B"01000101" when B"0100001101",  -- INDEX 269
1364
        B"01101001" when B"0100001110",  -- INDEX 270
1365
        B"01111101" when B"0100001111",  -- INDEX 271
1366
        B"01111110" when B"0100010000",  -- INDEX 272
1367
        B"01101100" when B"0100010001",  -- INDEX 273
1368
        B"01001001" when B"0100010010",  -- INDEX 274
1369
        B"00011011" when B"0100010011",  -- INDEX 275
1370
        B"11101001" when B"0100010100",  -- INDEX 276
1371
        B"10111010" when B"0100010101",  -- INDEX 277
1372
        B"10010110" when B"0100010110",  -- INDEX 278
1373
        B"10000011" when B"0100010111",  -- INDEX 279
1374
        B"10000010" when B"0100011000",  -- INDEX 280
1375
        B"10010101" when B"0100011001",  -- INDEX 281
1376
        B"10111000" when B"0100011010",  -- INDEX 282
1377
        B"11100110" when B"0100011011",  -- INDEX 283
1378
        B"00011000" when B"0100011100",  -- INDEX 284
1379
        B"01000110" when B"0100011101",  -- INDEX 285
1380
        B"01101010" when B"0100011110",  -- INDEX 286
1381
        B"01111101" when B"0100011111",  -- INDEX 287
1382
        B"01111110" when B"0100100000",  -- INDEX 288
1383
        B"01101011" when B"0100100001",  -- INDEX 289
1384
        B"01001000" when B"0100100010",  -- INDEX 290
1385
        B"00011001" when B"0100100011",  -- INDEX 291
1386
        B"11100111" when B"0100100100",  -- INDEX 292
1387
        B"10111001" when B"0100100101",  -- INDEX 293
1388
        B"10010110" when B"0100100110",  -- INDEX 294
1389
        B"10000011" when B"0100100111",  -- INDEX 295
1390
        B"10000010" when B"0100101000",  -- INDEX 296
1391
        B"10010101" when B"0100101001",  -- INDEX 297
1392
        B"10111001" when B"0100101010",  -- INDEX 298
1393
        B"11100111" when B"0100101011",  -- INDEX 299
1394
        B"00011001" when B"0100101100",  -- INDEX 300
1395
        B"01000111" when B"0100101101",  -- INDEX 301
1396
        B"01101010" when B"0100101110",  -- INDEX 302
1397
        B"01111101" when B"0100101111",  -- INDEX 303
1398
        B"01111110" when B"0100110000",  -- INDEX 304
1399
        B"01101011" when B"0100110001",  -- INDEX 305
1400
        B"01000111" when B"0100110010",  -- INDEX 306
1401
        B"00011001" when B"0100110011",  -- INDEX 307
1402
        B"11100111" when B"0100110100",  -- INDEX 308
1403
        B"10111001" when B"0100110101",  -- INDEX 309
1404
        B"10010110" when B"0100110110",  -- INDEX 310
1405
        B"10000011" when B"0100110111",  -- INDEX 311
1406
        B"10000010" when B"0100111000",  -- INDEX 312
1407
        B"10010101" when B"0100111001",  -- INDEX 313
1408
        B"10111000" when B"0100111010",  -- INDEX 314
1409
        B"11100110" when B"0100111011",  -- INDEX 315
1410
        B"00011000" when B"0100111100",  -- INDEX 316
1411
        B"01000110" when B"0100111101",  -- INDEX 317
1412
        B"01101010" when B"0100111110",  -- INDEX 318
1413
        B"01111101" when B"0100111111",  -- INDEX 319
1414
        B"01111110" when B"0101000000",  -- INDEX 320
1415
        B"01101011" when B"0101000001",  -- INDEX 321
1416
        B"01001000" when B"0101000010",  -- INDEX 322
1417
        B"00011010" when B"0101000011",  -- INDEX 323
1418
        B"11101001" when B"0101000100",  -- INDEX 324
1419
        B"10111010" when B"0101000101",  -- INDEX 325
1420
        B"10010111" when B"0101000110",  -- INDEX 326
1421
        B"10000011" when B"0101000111",  -- INDEX 327
1422
        B"10000010" when B"0101001000",  -- INDEX 328
1423
        B"10010100" when B"0101001001",  -- INDEX 329
1424
        B"10110111" when B"0101001010",  -- INDEX 330
1425
        B"11100100" when B"0101001011",  -- INDEX 331
1426
        B"00010110" when B"0101001100",  -- INDEX 332
1427
        B"01000101" when B"0101001101",  -- INDEX 333
1428
        B"01101001" when B"0101001110",  -- INDEX 334
1429
        B"01111101" when B"0101001111",  -- INDEX 335
1430
        B"01111110" when B"0101010000",  -- INDEX 336
1431
        B"01101100" when B"0101010001",  -- INDEX 337
1432
        B"01001010" when B"0101010010",  -- INDEX 338
1433
        B"00011101" when B"0101010011",  -- INDEX 339
1434
        B"11101011" when B"0101010100",  -- INDEX 340
1435
        B"10111101" when B"0101010101",  -- INDEX 341
1436
        B"10011000" when B"0101010110",  -- INDEX 342
1437
        B"10000011" when B"0101010111",  -- INDEX 343
1438
        B"10000010" when B"0101011000",  -- INDEX 344
1439
        B"10010011" when B"0101011001",  -- INDEX 345
1440
        B"10110100" when B"0101011010",  -- INDEX 346
1441
        B"11100001" when B"0101011011",  -- INDEX 347
1442
        B"00010011" when B"0101011100",  -- INDEX 348
1443
        B"01000010" when B"0101011101",  -- INDEX 349
1444
        B"01100111" when B"0101011110",  -- INDEX 350
1445
        B"01111100" when B"0101011111",  -- INDEX 351
1446
        B"01111111" when B"0101100000",  -- INDEX 352
1447
        B"01101110" when B"0101100001",  -- INDEX 353
1448
        B"01001101" when B"0101100010",  -- INDEX 354
1449
        B"00100000" when B"0101100011",  -- INDEX 355
1450
        B"11101111" when B"0101100100",  -- INDEX 356
1451
        B"11000000" when B"0101100101",  -- INDEX 357
1452
        B"10011011" when B"0101100110",  -- INDEX 358
1453
        B"10000100" when B"0101100111",  -- INDEX 359
1454
        B"10000001" when B"0101101000",  -- INDEX 360
1455
        B"10010001" when B"0101101001",  -- INDEX 361
1456
        B"10110001" when B"0101101010",  -- INDEX 362
1457
        B"11011101" when B"0101101011",  -- INDEX 363
1458
        B"00001111" when B"0101101100",  -- INDEX 364
1459
        B"00111110" when B"0101101101",  -- INDEX 365
1460
        B"01100100" when B"0101101110",  -- INDEX 366
1461
        B"01111011" when B"0101101111",  -- INDEX 367
1462
        B"01111111" when B"0101110000",  -- INDEX 368
1463
        B"01110001" when B"0101110001",  -- INDEX 369
1464
        B"01010001" when B"0101110010",  -- INDEX 370
1465
        B"00100101" when B"0101110011",  -- INDEX 371
1466
        B"11110100" when B"0101110100",  -- INDEX 372
1467
        B"11000100" when B"0101110101",  -- INDEX 373
1468
        B"10011110" when B"0101110110",  -- INDEX 374
1469
        B"10000110" when B"0101110111",  -- INDEX 375
1470
        B"10000000" when B"0101111000",  -- INDEX 376
1471
        B"10001110" when B"0101111001",  -- INDEX 377
1472
        B"10101101" when B"0101111010",  -- INDEX 378
1473
        B"11011000" when B"0101111011",  -- INDEX 379
1474
        B"00001001" when B"0101111100",  -- INDEX 380
1475
        B"00111001" when B"0101111101",  -- INDEX 381
1476
        B"01100000" when B"0101111110",  -- INDEX 382
1477
        B"01111001" when B"0101111111",  -- INDEX 383
1478
        B"01111111" when B"0110000000",  -- INDEX 384
1479
        B"01110011" when B"0110000001",  -- INDEX 385
1480
        B"01010110" when B"0110000010",  -- INDEX 386
1481
        B"00101011" when B"0110000011",  -- INDEX 387
1482
        B"11111010" when B"0110000100",  -- INDEX 388
1483
        B"11001010" when B"0110000101",  -- INDEX 389
1484
        B"10100010" when B"0110000110",  -- INDEX 390
1485
        B"10001000" when B"0110000111",  -- INDEX 391
1486
        B"10000000" when B"0110001000",  -- INDEX 392
1487
        B"10001011" when B"0110001001",  -- INDEX 393
1488
        B"10101000" when B"0110001010",  -- INDEX 394
1489
        B"11010001" when B"0110001011",  -- INDEX 395
1490
        B"00000010" when B"0110001100",  -- INDEX 396
1491
        B"00110010" when B"0110001101",  -- INDEX 397
1492
        B"01011011" when B"0110001110",  -- INDEX 398
1493
        B"01110110" when B"0110001111",  -- INDEX 399
1494
        B"01111111" when B"0110010000",  -- INDEX 400
1495
        B"01110110" when B"0110010001",  -- INDEX 401
1496
        B"01011011" when B"0110010010",  -- INDEX 402
1497
        B"00110010" when B"0110010011",  -- INDEX 403
1498
        B"00000010" when B"0110010100",  -- INDEX 404
1499
        B"11010001" when B"0110010101",  -- INDEX 405
1500
        B"10101000" when B"0110010110",  -- INDEX 406
1501
        B"10001011" when B"0110010111",  -- INDEX 407
1502
        B"10000000" when B"0110011000",  -- INDEX 408
1503
        B"10001000" when B"0110011001",  -- INDEX 409
1504
        B"10100010" when B"0110011010",  -- INDEX 410
1505
        B"11001010" when B"0110011011",  -- INDEX 411
1506
        B"11111010" when B"0110011100",  -- INDEX 412
1507
        B"00101011" when B"0110011101",  -- INDEX 413
1508
        B"01010110" when B"0110011110",  -- INDEX 414
1509
        B"01110011" when B"0110011111",  -- INDEX 415
1510
        B"01111111" when B"0110100000",  -- INDEX 416
1511
        B"01111001" when B"0110100001",  -- INDEX 417
1512
        B"01100000" when B"0110100010",  -- INDEX 418
1513
        B"00111001" when B"0110100011",  -- INDEX 419
1514
        B"00001001" when B"0110100100",  -- INDEX 420
1515
        B"11011000" when B"0110100101",  -- INDEX 421
1516
        B"10101101" when B"0110100110",  -- INDEX 422
1517
        B"10001110" when B"0110100111",  -- INDEX 423
1518
        B"10000000" when B"0110101000",  -- INDEX 424
1519
        B"10000110" when B"0110101001",  -- INDEX 425
1520
        B"10011110" when B"0110101010",  -- INDEX 426
1521
        B"11000100" when B"0110101011",  -- INDEX 427
1522
        B"11110100" when B"0110101100",  -- INDEX 428
1523
        B"00100101" when B"0110101101",  -- INDEX 429
1524
        B"01010001" when B"0110101110",  -- INDEX 430
1525
        B"01110001" when B"0110101111",  -- INDEX 431
1526
        B"01111111" when B"0110110000",  -- INDEX 432
1527
        B"01111011" when B"0110110001",  -- INDEX 433
1528
        B"01100100" when B"0110110010",  -- INDEX 434
1529
        B"00111110" when B"0110110011",  -- INDEX 435
1530
        B"00001111" when B"0110110100",  -- INDEX 436
1531
        B"11011101" when B"0110110101",  -- INDEX 437
1532
        B"10110001" when B"0110110110",  -- INDEX 438
1533
        B"10010001" when B"0110110111",  -- INDEX 439
1534
        B"10000001" when B"0110111000",  -- INDEX 440
1535
        B"10000100" when B"0110111001",  -- INDEX 441
1536
        B"10011010" when B"0110111010",  -- INDEX 442
1537
        B"11000000" when B"0110111011",  -- INDEX 443
1538
        B"11101111" when B"0110111100",  -- INDEX 444
1539
        B"00100000" when B"0110111101",  -- INDEX 445
1540
        B"01001101" when B"0110111110",  -- INDEX 446
1541
        B"01101110" when B"0110111111",  -- INDEX 447
1542
        B"01111111" when B"0111000000",  -- INDEX 448
1543
        B"01111100" when B"0111000001",  -- INDEX 449
1544
        B"01100111" when B"0111000010",  -- INDEX 450
1545
        B"01000010" when B"0111000011",  -- INDEX 451
1546
        B"00010011" when B"0111000100",  -- INDEX 452
1547
        B"11100010" when B"0111000101",  -- INDEX 453
1548
        B"10110101" when B"0111000110",  -- INDEX 454
1549
        B"10010011" when B"0111000111",  -- INDEX 455
1550
        B"10000010" when B"0111001000",  -- INDEX 456
1551
        B"10000011" when B"0111001001",  -- INDEX 457
1552
        B"10011000" when B"0111001010",  -- INDEX 458
1553
        B"10111100" when B"0111001011",  -- INDEX 459
1554
        B"11101011" when B"0111001100",  -- INDEX 460
1555
        B"00011101" when B"0111001101",  -- INDEX 461
1556
        B"01001010" when B"0111001110",  -- INDEX 462
1557
        B"01101100" when B"0111001111",  -- INDEX 463
1558
        B"01111110" when B"0111010000",  -- INDEX 464
1559
        B"01111101" when B"0111010001",  -- INDEX 465
1560
        B"01101001" when B"0111010010",  -- INDEX 466
1561
        B"01000101" when B"0111010011",  -- INDEX 467
1562
        B"00010111" when B"0111010100",  -- INDEX 468
1563
        B"11100101" when B"0111010101",  -- INDEX 469
1564
        B"10110111" when B"0111010110",  -- INDEX 470
1565
        B"10010101" when B"0111010111",  -- INDEX 471
1566
        B"10000010" when B"0111011000",  -- INDEX 472
1567
        B"10000011" when B"0111011001",  -- INDEX 473
1568
        B"10010110" when B"0111011010",  -- INDEX 474
1569
        B"10111010" when B"0111011011",  -- INDEX 475
1570
        B"11101000" when B"0111011100",  -- INDEX 476
1571
        B"00011010" when B"0111011101",  -- INDEX 477
1572
        B"01001000" when B"0111011110",  -- INDEX 478
1573
        B"01101011" when B"0111011111",  -- INDEX 479
1574
        B"01111110" when B"0111100000",  -- INDEX 480
1575
        B"01111101" when B"0111100001",  -- INDEX 481
1576
        B"01101010" when B"0111100010",  -- INDEX 482
1577
        B"01000111" when B"0111100011",  -- INDEX 483
1578
        B"00011001" when B"0111100100",  -- INDEX 484
1579
        B"11100111" when B"0111100101",  -- INDEX 485
1580
        B"10111001" when B"0111100110",  -- INDEX 486
1581
        B"10010101" when B"0111100111",  -- INDEX 487
1582
        B"10000010" when B"0111101000",  -- INDEX 488
1583
        B"10000010" when B"0111101001",  -- INDEX 489
1584
        B"10010110" when B"0111101010",  -- INDEX 490
1585
        B"10111001" when B"0111101011",  -- INDEX 491
1586
        B"11100111" when B"0111101100",  -- INDEX 492
1587
        B"00011001" when B"0111101101",  -- INDEX 493
1588
        B"01000111" when B"0111101110",  -- INDEX 494
1589
        B"01101010" when B"0111101111",  -- INDEX 495
1590
        B"01111110" when B"0111110000",  -- INDEX 496
1591
        B"01111110" when B"0111110001",  -- INDEX 497
1592
        B"01101011" when B"0111110010",  -- INDEX 498
1593
        B"01000111" when B"0111110011",  -- INDEX 499
1594
        B"00011001" when B"0111110100",  -- INDEX 500
1595
        B"11100111" when B"0111110101",  -- INDEX 501
1596
        B"10111001" when B"0111110110",  -- INDEX 502
1597
        B"10010110" when B"0111110111",  -- INDEX 503
1598
        B"10000011" when B"0111111000",  -- INDEX 504
1599
        B"10000010" when B"0111111001",  -- INDEX 505
1600
        B"10010101" when B"0111111010",  -- INDEX 506
1601
        B"10111001" when B"0111111011",  -- INDEX 507
1602
        B"11100111" when B"0111111100",  -- INDEX 508
1603
        B"00011001" when B"0111111101",  -- INDEX 509
1604
        B"01000111" when B"0111111110",  -- INDEX 510
1605
        B"01101010" when B"0111111111",  -- INDEX 511
1606
        B"01111110" when B"1000000000",  -- INDEX 512
1607
        B"01111110" when B"1000000001",  -- INDEX 513
1608
        B"01101010" when B"1000000010",  -- INDEX 514
1609
        B"01000111" when B"1000000011",  -- INDEX 515
1610
        B"00011001" when B"1000000100",  -- INDEX 516
1611
        B"11100111" when B"1000000101",  -- INDEX 517
1612
        B"10111000" when B"1000000110",  -- INDEX 518
1613
        B"10010101" when B"1000000111",  -- INDEX 519
1614
        B"10000010" when B"1000001000",  -- INDEX 520
1615
        B"10000011" when B"1000001001",  -- INDEX 521
1616
        B"10010110" when B"1000001010",  -- INDEX 522
1617
        B"10111010" when B"1000001011",  -- INDEX 523
1618
        B"11101000" when B"1000001100",  -- INDEX 524
1619
        B"00011010" when B"1000001101",  -- INDEX 525
1620
        B"01001000" when B"1000001110",  -- INDEX 526
1621
        B"01101011" when B"1000001111",  -- INDEX 527
1622
        B"01111110" when B"1000010000",  -- INDEX 528
1623
        B"01111101" when B"1000010001",  -- INDEX 529
1624
        B"01101001" when B"1000010010",  -- INDEX 530
1625
        B"01000101" when B"1000010011",  -- INDEX 531
1626
        B"00010111" when B"1000010100",  -- INDEX 532
1627
        B"11100101" when B"1000010101",  -- INDEX 533
1628
        B"10110111" when B"1000010110",  -- INDEX 534
1629
        B"10010100" when B"1000010111",  -- INDEX 535
1630
        B"10000010" when B"1000011000",  -- INDEX 536
1631
        B"10000011" when B"1000011001",  -- INDEX 537
1632
        B"10010111" when B"1000011010",  -- INDEX 538
1633
        B"10111100" when B"1000011011",  -- INDEX 539
1634
        B"11101011" when B"1000011100",  -- INDEX 540
1635
        B"00011101" when B"1000011101",  -- INDEX 541
1636
        B"01001010" when B"1000011110",  -- INDEX 542
1637
        B"01101101" when B"1000011111",  -- INDEX 543
1638
        B"01111110" when B"1000100000",  -- INDEX 544
1639
        B"01111101" when B"1000100001",  -- INDEX 545
1640
        B"01101000" when B"1000100010",  -- INDEX 546
1641
        B"01000011" when B"1000100011",  -- INDEX 547
1642
        B"00010100" when B"1000100100",  -- INDEX 548
1643
        B"11100010" when B"1000100101",  -- INDEX 549
1644
        B"10110100" when B"1000100110",  -- INDEX 550
1645
        B"10010010" when B"1000100111",  -- INDEX 551
1646
        B"10000001" when B"1000101000",  -- INDEX 552
1647
        B"10000100" when B"1000101001",  -- INDEX 553
1648
        B"10011010" when B"1000101010",  -- INDEX 554
1649
        B"10111111" when B"1000101011",  -- INDEX 555
1650
        B"11101110" when B"1000101100",  -- INDEX 556
1651
        B"00100001" when B"1000101101",  -- INDEX 557
1652
        B"01001110" when B"1000101110",  -- INDEX 558
1653
        B"01101111" when B"1000101111",  -- INDEX 559
1654
        B"01111111" when B"1000110000",  -- INDEX 560
1655
        B"01111011" when B"1000110001",  -- INDEX 561
1656
        B"01100101" when B"1000110010",  -- INDEX 562
1657
        B"00111111" when B"1000110011",  -- INDEX 563
1658
        B"00001111" when B"1000110100",  -- INDEX 564
1659
        B"11011101" when B"1000110101",  -- INDEX 565
1660
        B"10110000" when B"1000110110",  -- INDEX 566
1661
        B"10010000" when B"1000110111",  -- INDEX 567
1662
        B"10000001" when B"1000111000",  -- INDEX 568
1663
        B"10000101" when B"1000111001",  -- INDEX 569
1664
        B"10011101" when B"1000111010",  -- INDEX 570
1665
        B"11000011" when B"1000111011",  -- INDEX 571
1666
        B"11110011" when B"1000111100",  -- INDEX 572
1667
        B"00100110" when B"1000111101",  -- INDEX 573
1668
        B"01010010" when B"1000111110",  -- INDEX 574
1669
        B"01110001" when B"1000111111",  -- INDEX 575
1670
        B"01111111" when B"1001000000",  -- INDEX 576
1671
        B"01111010" when B"1001000001",  -- INDEX 577
1672
        B"01100001" when B"1001000010",  -- INDEX 578
1673
        B"00111010" when B"1001000011",  -- INDEX 579
1674
        B"00001001" when B"1001000100",  -- INDEX 580
1675
        B"11011000" when B"1001000101",  -- INDEX 581
1676
        B"10101100" when B"1001000110",  -- INDEX 582
1677
        B"10001101" when B"1001000111",  -- INDEX 583
1678
        B"10000000" when B"1001001000",  -- INDEX 584
1679
        B"10000111" when B"1001001001",  -- INDEX 585
1680
        B"10100001" when B"1001001010",  -- INDEX 586
1681
        B"11001001" when B"1001001011",  -- INDEX 587
1682
        B"11111010" when B"1001001100",  -- INDEX 588
1683
        B"00101100" when B"1001001101",  -- INDEX 589
1684
        B"01010111" when B"1001001110",  -- INDEX 590
1685
        B"01110100" when B"1001001111",  -- INDEX 591
1686
        B"01111111" when B"1001010000",  -- INDEX 592
1687
        B"01111000" when B"1001010001",  -- INDEX 593
1688
        B"01011101" when B"1001010010",  -- INDEX 594
1689
        B"00110100" when B"1001010011",  -- INDEX 595
1690
        B"00000010" when B"1001010100",  -- INDEX 596
1691
        B"11010001" when B"1001010101",  -- INDEX 597
1692
        B"10100111" when B"1001010110",  -- INDEX 598
1693
        B"10001010" when B"1001010111",  -- INDEX 599
1694
        B"10000000" when B"1001011000",  -- INDEX 600
1695
        B"10001010" when B"1001011001",  -- INDEX 601
1696
        B"10100110" when B"1001011010",  -- INDEX 602
1697
        B"11010000" when B"1001011011",  -- INDEX 603
1698
        B"00000001" when B"1001011100",  -- INDEX 604
1699
        B"00110011" when B"1001011101",  -- INDEX 605
1700
        B"01011100" when B"1001011110",  -- INDEX 606
1701
        B"01110111" when B"1001011111",  -- INDEX 607
1702
        B"01111111" when B"1001100000",  -- INDEX 608
1703
        B"01110101" when B"1001100001",  -- INDEX 609
1704
        B"01010111" when B"1001100010",  -- INDEX 610
1705
        B"00101101" when B"1001100011",  -- INDEX 611
1706
        B"11111011" when B"1001100100",  -- INDEX 612
1707
        B"11001010" when B"1001100101",  -- INDEX 613
1708
        B"10100001" when B"1001100110",  -- INDEX 614
1709
        B"10000111" when B"1001100111",  -- INDEX 615
1710
        B"10000000" when B"1001101000",  -- INDEX 616
1711
        B"10001101" when B"1001101001",  -- INDEX 617
1712
        B"10101011" when B"1001101010",  -- INDEX 618
1713
        B"11010111" when B"1001101011",  -- INDEX 619
1714
        B"00001001" when B"1001101100",  -- INDEX 620
1715
        B"00111001" when B"1001101101",  -- INDEX 621
1716
        B"01100001" when B"1001101110",  -- INDEX 622
1717
        B"01111010" when B"1001101111",  -- INDEX 623
1718
        B"01111111" when B"1001110000",  -- INDEX 624
1719
        B"01110010" when B"1001110001",  -- INDEX 625
1720
        B"01010010" when B"1001110010",  -- INDEX 626
1721
        B"00100110" when B"1001110011",  -- INDEX 627
1722
        B"11110100" when B"1001110100",  -- INDEX 628
1723
        B"11000100" when B"1001110101",  -- INDEX 629
1724
        B"10011101" when B"1001110110",  -- INDEX 630
1725
        B"10000101" when B"1001110111",  -- INDEX 631
1726
        B"10000001" when B"1001111000",  -- INDEX 632
1727
        B"10010000" when B"1001111001",  -- INDEX 633
1728
        B"10110000" when B"1001111010",  -- INDEX 634
1729
        B"11011100" when B"1001111011",  -- INDEX 635
1730
        B"00001110" when B"1001111100",  -- INDEX 636
1731
        B"00111110" when B"1001111101",  -- INDEX 637
1732
        B"01100101" when B"1001111110",  -- INDEX 638
1733
        B"01111011" when B"1001111111",  -- INDEX 639
1734
        B"01111111" when B"1010000000",  -- INDEX 640
1735
        B"01101111" when B"1010000001",  -- INDEX 641
1736
        B"01001110" when B"1010000010",  -- INDEX 642
1737
        B"00100001" when B"1010000011",  -- INDEX 643
1738
        B"11101111" when B"1010000100",  -- INDEX 644
1739
        B"11000000" when B"1010000101",  -- INDEX 645
1740
        B"10011010" when B"1010000110",  -- INDEX 646
1741
        B"10000100" when B"1010000111",  -- INDEX 647
1742
        B"10000001" when B"1010001000",  -- INDEX 648
1743
        B"10010010" when B"1010001001",  -- INDEX 649
1744
        B"10110011" when B"1010001010",  -- INDEX 650
1745
        B"11100001" when B"1010001011",  -- INDEX 651
1746
        B"00010011" when B"1010001100",  -- INDEX 652
1747
        B"01000010" when B"1010001101",  -- INDEX 653
1748
        B"01100111" when B"1010001110",  -- INDEX 654
1749
        B"01111100" when B"1010001111",  -- INDEX 655
1750
        B"01111110" when B"1010010000",  -- INDEX 656
1751
        B"01101101" when B"1010010001",  -- INDEX 657
1752
        B"01001011" when B"1010010010",  -- INDEX 658
1753
        B"00011101" when B"1010010011",  -- INDEX 659
1754
        B"11101011" when B"1010010100",  -- INDEX 660
1755
        B"10111100" when B"1010010101",  -- INDEX 661
1756
        B"10011000" when B"1010010110",  -- INDEX 662
1757
        B"10000011" when B"1010010111",  -- INDEX 663
1758
        B"10000010" when B"1010011000",  -- INDEX 664
1759
        B"10010100" when B"1010011001",  -- INDEX 665
1760
        B"10110110" when B"1010011010",  -- INDEX 666
1761
        B"11100100" when B"1010011011",  -- INDEX 667
1762
        B"00010110" when B"1010011100",  -- INDEX 668
1763
        B"01000101" when B"1010011101",  -- INDEX 669
1764
        B"01101001" when B"1010011110",  -- INDEX 670
1765
        B"01111101" when B"1010011111",  -- INDEX 671
1766
        B"01111110" when B"1010100000",  -- INDEX 672
1767
        B"01101100" when B"1010100001",  -- INDEX 673
1768
        B"01001001" when B"1010100010",  -- INDEX 674
1769
        B"00011011" when B"1010100011",  -- INDEX 675
1770
        B"11101001" when B"1010100100",  -- INDEX 676
1771
        B"10111010" when B"1010100101",  -- INDEX 677
1772
        B"10010110" when B"1010100110",  -- INDEX 678
1773
        B"10000011" when B"1010100111",  -- INDEX 679
1774
        B"10000010" when B"1010101000",  -- INDEX 680
1775
        B"10010101" when B"1010101001",  -- INDEX 681
1776
        B"10111000" when B"1010101010",  -- INDEX 682
1777
        B"11100110" when B"1010101011",  -- INDEX 683
1778
        B"00011000" when B"1010101100",  -- INDEX 684
1779
        B"01000110" when B"1010101101",  -- INDEX 685
1780
        B"01101010" when B"1010101110",  -- INDEX 686
1781
        B"01111101" when B"1010101111",  -- INDEX 687
1782
        B"01111110" when B"1010110000",  -- INDEX 688
1783
        B"01101011" when B"1010110001",  -- INDEX 689
1784
        B"01001000" when B"1010110010",  -- INDEX 690
1785
        B"00011001" when B"1010110011",  -- INDEX 691
1786
        B"11100111" when B"1010110100",  -- INDEX 692
1787
        B"10111001" when B"1010110101",  -- INDEX 693
1788
        B"10010110" when B"1010110110",  -- INDEX 694
1789
        B"10000011" when B"1010110111",  -- INDEX 695
1790
        B"10000010" when B"1010111000",  -- INDEX 696
1791
        B"10010101" when B"1010111001",  -- INDEX 697
1792
        B"10111001" when B"1010111010",  -- INDEX 698
1793
        B"11100111" when B"1010111011",  -- INDEX 699
1794
        B"00011001" when B"1010111100",  -- INDEX 700
1795
        B"01000111" when B"1010111101",  -- INDEX 701
1796
        B"01101010" when B"1010111110",  -- INDEX 702
1797
        B"01111101" when B"1010111111",  -- INDEX 703
1798
        B"01111110" when B"1011000000",  -- INDEX 704
1799
        B"01101011" when B"1011000001",  -- INDEX 705
1800
        B"01000111" when B"1011000010",  -- INDEX 706
1801
        B"00011001" when B"1011000011",  -- INDEX 707
1802
        B"11100111" when B"1011000100",  -- INDEX 708
1803
        B"10111001" when B"1011000101",  -- INDEX 709
1804
        B"10010110" when B"1011000110",  -- INDEX 710
1805
        B"10000011" when B"1011000111",  -- INDEX 711
1806
        B"10000010" when B"1011001000",  -- INDEX 712
1807
        B"10010101" when B"1011001001",  -- INDEX 713
1808
        B"10111000" when B"1011001010",  -- INDEX 714
1809
        B"11100110" when B"1011001011",  -- INDEX 715
1810
        B"00011000" when B"1011001100",  -- INDEX 716
1811
        B"01000110" when B"1011001101",  -- INDEX 717
1812
        B"01101010" when B"1011001110",  -- INDEX 718
1813
        B"01111101" when B"1011001111",  -- INDEX 719
1814
        B"01111110" when B"1011010000",  -- INDEX 720
1815
        B"01101011" when B"1011010001",  -- INDEX 721
1816
        B"01001000" when B"1011010010",  -- INDEX 722
1817
        B"00011010" when B"1011010011",  -- INDEX 723
1818
        B"11101001" when B"1011010100",  -- INDEX 724
1819
        B"10111010" when B"1011010101",  -- INDEX 725
1820
        B"10010111" when B"1011010110",  -- INDEX 726
1821
        B"10000011" when B"1011010111",  -- INDEX 727
1822
        B"10000010" when B"1011011000",  -- INDEX 728
1823
        B"10010100" when B"1011011001",  -- INDEX 729
1824
        B"10110111" when B"1011011010",  -- INDEX 730
1825
        B"11100100" when B"1011011011",  -- INDEX 731
1826
        B"00010110" when B"1011011100",  -- INDEX 732
1827
        B"01000101" when B"1011011101",  -- INDEX 733
1828
        B"01101001" when B"1011011110",  -- INDEX 734
1829
        B"01111101" when B"1011011111",  -- INDEX 735
1830
        B"01111110" when B"1011100000",  -- INDEX 736
1831
        B"01101100" when B"1011100001",  -- INDEX 737
1832
        B"01001010" when B"1011100010",  -- INDEX 738
1833
        B"00011101" when B"1011100011",  -- INDEX 739
1834
        B"11101011" when B"1011100100",  -- INDEX 740
1835
        B"10111101" when B"1011100101",  -- INDEX 741
1836
        B"10011000" when B"1011100110",  -- INDEX 742
1837
        B"10000011" when B"1011100111",  -- INDEX 743
1838
        B"10000010" when B"1011101000",  -- INDEX 744
1839
        B"10010011" when B"1011101001",  -- INDEX 745
1840
        B"10110100" when B"1011101010",  -- INDEX 746
1841
        B"11100001" when B"1011101011",  -- INDEX 747
1842
        B"00010011" when B"1011101100",  -- INDEX 748
1843
        B"01000010" when B"1011101101",  -- INDEX 749
1844
        B"01100111" when B"1011101110",  -- INDEX 750
1845
        B"01111100" when B"1011101111",  -- INDEX 751
1846
        B"01111111" when B"1011110000",  -- INDEX 752
1847
        B"01101110" when B"1011110001",  -- INDEX 753
1848
        B"01001101" when B"1011110010",  -- INDEX 754
1849
        B"00100000" when B"1011110011",  -- INDEX 755
1850
        B"11101111" when B"1011110100",  -- INDEX 756
1851
        B"11000000" when B"1011110101",  -- INDEX 757
1852
        B"10011011" when B"1011110110",  -- INDEX 758
1853
        B"10000100" when B"1011110111",  -- INDEX 759
1854
        B"10000001" when B"1011111000",  -- INDEX 760
1855
        B"10010001" when B"1011111001",  -- INDEX 761
1856
        B"10110001" when B"1011111010",  -- INDEX 762
1857
        B"11011101" when B"1011111011",  -- INDEX 763
1858
        B"00001111" when B"1011111100",  -- INDEX 764
1859
        B"00111110" when B"1011111101",  -- INDEX 765
1860
        B"01100100" when B"1011111110",  -- INDEX 766
1861
        B"01111011" when B"1011111111",  -- INDEX 767
1862
        B"01111111" when B"1100000000",  -- INDEX 768
1863
        B"01110001" when B"1100000001",  -- INDEX 769
1864
        B"01010001" when B"1100000010",  -- INDEX 770
1865
        B"00100101" when B"1100000011",  -- INDEX 771
1866
        B"11110100" when B"1100000100",  -- INDEX 772
1867
        B"11000100" when B"1100000101",  -- INDEX 773
1868
        B"10011110" when B"1100000110",  -- INDEX 774
1869
        B"10000110" when B"1100000111",  -- INDEX 775
1870
        B"10000000" when B"1100001000",  -- INDEX 776
1871
        B"10001110" when B"1100001001",  -- INDEX 777
1872
        B"10101101" when B"1100001010",  -- INDEX 778
1873
        B"11011000" when B"1100001011",  -- INDEX 779
1874
        B"00001001" when B"1100001100",  -- INDEX 780
1875
        B"00111001" when B"1100001101",  -- INDEX 781
1876
        B"01100000" when B"1100001110",  -- INDEX 782
1877
        B"01111001" when B"1100001111",  -- INDEX 783
1878
        B"01111111" when B"1100010000",  -- INDEX 784
1879
        B"01110011" when B"1100010001",  -- INDEX 785
1880
        B"01010110" when B"1100010010",  -- INDEX 786
1881
        B"00101011" when B"1100010011",  -- INDEX 787
1882
        B"11111010" when B"1100010100",  -- INDEX 788
1883
        B"11001010" when B"1100010101",  -- INDEX 789
1884
        B"10100010" when B"1100010110",  -- INDEX 790
1885
        B"10001000" when B"1100010111",  -- INDEX 791
1886
        B"10000000" when B"1100011000",  -- INDEX 792
1887
        B"10001011" when B"1100011001",  -- INDEX 793
1888
        B"10101000" when B"1100011010",  -- INDEX 794
1889
        B"11010001" when B"1100011011",  -- INDEX 795
1890
        B"00000010" when B"1100011100",  -- INDEX 796
1891
        B"00110010" when B"1100011101",  -- INDEX 797
1892
        B"01011011" when B"1100011110",  -- INDEX 798
1893
        B"01110110" when B"1100011111",  -- INDEX 799
1894
        B"01111111" when B"1100100000",  -- INDEX 800
1895
        B"01110110" when B"1100100001",  -- INDEX 801
1896
        B"01011011" when B"1100100010",  -- INDEX 802
1897
        B"00110010" when B"1100100011",  -- INDEX 803
1898
        B"00000010" when B"1100100100",  -- INDEX 804
1899
        B"11010001" when B"1100100101",  -- INDEX 805
1900
        B"10101000" when B"1100100110",  -- INDEX 806
1901
        B"10001011" when B"1100100111",  -- INDEX 807
1902
        B"10000000" when B"1100101000",  -- INDEX 808
1903
        B"10001000" when B"1100101001",  -- INDEX 809
1904
        B"10100010" when B"1100101010",  -- INDEX 810
1905
        B"11001010" when B"1100101011",  -- INDEX 811
1906
        B"11111010" when B"1100101100",  -- INDEX 812
1907
        B"00101011" when B"1100101101",  -- INDEX 813
1908
        B"01010110" when B"1100101110",  -- INDEX 814
1909
        B"01110011" when B"1100101111",  -- INDEX 815
1910
        B"01111111" when B"1100110000",  -- INDEX 816
1911
        B"01111001" when B"1100110001",  -- INDEX 817
1912
        B"01100000" when B"1100110010",  -- INDEX 818
1913
        B"00111001" when B"1100110011",  -- INDEX 819
1914
        B"00001001" when B"1100110100",  -- INDEX 820
1915
        B"11011000" when B"1100110101",  -- INDEX 821
1916
        B"10101101" when B"1100110110",  -- INDEX 822
1917
        B"10001110" when B"1100110111",  -- INDEX 823
1918
        B"10000000" when B"1100111000",  -- INDEX 824
1919
        B"10000110" when B"1100111001",  -- INDEX 825
1920
        B"10011110" when B"1100111010",  -- INDEX 826
1921
        B"11000100" when B"1100111011",  -- INDEX 827
1922
        B"11110100" when B"1100111100",  -- INDEX 828
1923
        B"00100101" when B"1100111101",  -- INDEX 829
1924
        B"01010001" when B"1100111110",  -- INDEX 830
1925
        B"01110001" when B"1100111111",  -- INDEX 831
1926
        B"01111111" when B"1101000000",  -- INDEX 832
1927
        B"01111011" when B"1101000001",  -- INDEX 833
1928
        B"01100100" when B"1101000010",  -- INDEX 834
1929
        B"00111110" when B"1101000011",  -- INDEX 835
1930
        B"00001111" when B"1101000100",  -- INDEX 836
1931
        B"11011101" when B"1101000101",  -- INDEX 837
1932
        B"10110001" when B"1101000110",  -- INDEX 838
1933
        B"10010001" when B"1101000111",  -- INDEX 839
1934
        B"10000001" when B"1101001000",  -- INDEX 840
1935
        B"10000100" when B"1101001001",  -- INDEX 841
1936
        B"10011010" when B"1101001010",  -- INDEX 842
1937
        B"11000000" when B"1101001011",  -- INDEX 843
1938
        B"11101111" when B"1101001100",  -- INDEX 844
1939
        B"00100000" when B"1101001101",  -- INDEX 845
1940
        B"01001101" when B"1101001110",  -- INDEX 846
1941
        B"01101110" when B"1101001111",  -- INDEX 847
1942
        B"01111111" when B"1101010000",  -- INDEX 848
1943
        B"01111100" when B"1101010001",  -- INDEX 849
1944
        B"01100111" when B"1101010010",  -- INDEX 850
1945
        B"01000010" when B"1101010011",  -- INDEX 851
1946
        B"00010011" when B"1101010100",  -- INDEX 852
1947
        B"11100010" when B"1101010101",  -- INDEX 853
1948
        B"10110101" when B"1101010110",  -- INDEX 854
1949
        B"10010011" when B"1101010111",  -- INDEX 855
1950
        B"10000010" when B"1101011000",  -- INDEX 856
1951
        B"10000011" when B"1101011001",  -- INDEX 857
1952
        B"10011000" when B"1101011010",  -- INDEX 858
1953
        B"10111100" when B"1101011011",  -- INDEX 859
1954
        B"11101011" when B"1101011100",  -- INDEX 860
1955
        B"00011101" when B"1101011101",  -- INDEX 861
1956
        B"01001010" when B"1101011110",  -- INDEX 862
1957
        B"01101100" when B"1101011111",  -- INDEX 863
1958
        B"01111110" when B"1101100000",  -- INDEX 864
1959
        B"01111101" when B"1101100001",  -- INDEX 865
1960
        B"01101001" when B"1101100010",  -- INDEX 866
1961
        B"01000101" when B"1101100011",  -- INDEX 867
1962
        B"00010111" when B"1101100100",  -- INDEX 868
1963
        B"11100101" when B"1101100101",  -- INDEX 869
1964
        B"10110111" when B"1101100110",  -- INDEX 870
1965
        B"10010101" when B"1101100111",  -- INDEX 871
1966
        B"10000010" when B"1101101000",  -- INDEX 872
1967
        B"10000011" when B"1101101001",  -- INDEX 873
1968
        B"10010110" when B"1101101010",  -- INDEX 874
1969
        B"10111010" when B"1101101011",  -- INDEX 875
1970
        B"11101000" when B"1101101100",  -- INDEX 876
1971
        B"00011010" when B"1101101101",  -- INDEX 877
1972
        B"01001000" when B"1101101110",  -- INDEX 878
1973
        B"01101011" when B"1101101111",  -- INDEX 879
1974
        B"01111110" when B"1101110000",  -- INDEX 880
1975
        B"01111101" when B"1101110001",  -- INDEX 881
1976
        B"01101010" when B"1101110010",  -- INDEX 882
1977
        B"01000111" when B"1101110011",  -- INDEX 883
1978
        B"00011001" when B"1101110100",  -- INDEX 884
1979
        B"11100111" when B"1101110101",  -- INDEX 885
1980
        B"10111001" when B"1101110110",  -- INDEX 886
1981
        B"10010101" when B"1101110111",  -- INDEX 887
1982
        B"10000010" when B"1101111000",  -- INDEX 888
1983
        B"10000010" when B"1101111001",  -- INDEX 889
1984
        B"10010110" when B"1101111010",  -- INDEX 890
1985
        B"10111001" when B"1101111011",  -- INDEX 891
1986
        B"11100111" when B"1101111100",  -- INDEX 892
1987
        B"00011001" when B"1101111101",  -- INDEX 893
1988
        B"01000111" when B"1101111110",  -- INDEX 894
1989
        B"01101010" when B"1101111111",  -- INDEX 895
1990
        B"01111110" when B"1110000000",  -- INDEX 896
1991
        B"01111110" when B"1110000001",  -- INDEX 897
1992
        B"01101011" when B"1110000010",  -- INDEX 898
1993
        B"01000111" when B"1110000011",  -- INDEX 899
1994
        B"00011001" when B"1110000100",  -- INDEX 900
1995
        B"11100111" when B"1110000101",  -- INDEX 901
1996
        B"10111001" when B"1110000110",  -- INDEX 902
1997
        B"10010110" when B"1110000111",  -- INDEX 903
1998
        B"10000011" when B"1110001000",  -- INDEX 904
1999
        B"10000010" when B"1110001001",  -- INDEX 905
2000
        B"10010101" when B"1110001010",  -- INDEX 906
2001
        B"10111001" when B"1110001011",  -- INDEX 907
2002
        B"11100111" when B"1110001100",  -- INDEX 908
2003
        B"00011001" when B"1110001101",  -- INDEX 909
2004
        B"01000111" when B"1110001110",  -- INDEX 910
2005
        B"01101010" when B"1110001111",  -- INDEX 911
2006
        B"01111110" when B"1110010000",  -- INDEX 912
2007
        B"01111110" when B"1110010001",  -- INDEX 913
2008
        B"01101010" when B"1110010010",  -- INDEX 914
2009
        B"01000111" when B"1110010011",  -- INDEX 915
2010
        B"00011001" when B"1110010100",  -- INDEX 916
2011
        B"11100111" when B"1110010101",  -- INDEX 917
2012
        B"10111000" when B"1110010110",  -- INDEX 918
2013
        B"10010101" when B"1110010111",  -- INDEX 919
2014
        B"10000010" when B"1110011000",  -- INDEX 920
2015
        B"10000011" when B"1110011001",  -- INDEX 921
2016
        B"10010110" when B"1110011010",  -- INDEX 922
2017
        B"10111010" when B"1110011011",  -- INDEX 923
2018
        B"11101000" when B"1110011100",  -- INDEX 924
2019
        B"00011010" when B"1110011101",  -- INDEX 925
2020
        B"01001000" when B"1110011110",  -- INDEX 926
2021
        B"01101011" when B"1110011111",  -- INDEX 927
2022
        B"01111110" when B"1110100000",  -- INDEX 928
2023
        B"01111101" when B"1110100001",  -- INDEX 929
2024
        B"01101001" when B"1110100010",  -- INDEX 930
2025
        B"01000101" when B"1110100011",  -- INDEX 931
2026
        B"00010111" when B"1110100100",  -- INDEX 932
2027
        B"11100101" when B"1110100101",  -- INDEX 933
2028
        B"10110111" when B"1110100110",  -- INDEX 934
2029
        B"10010100" when B"1110100111",  -- INDEX 935
2030
        B"10000010" when B"1110101000",  -- INDEX 936
2031
        B"10000011" when B"1110101001",  -- INDEX 937
2032
        B"10010111" when B"1110101010",  -- INDEX 938
2033
        B"10111100" when B"1110101011",  -- INDEX 939
2034
        B"11101011" when B"1110101100",  -- INDEX 940
2035
        B"00011101" when B"1110101101",  -- INDEX 941
2036
        B"01001010" when B"1110101110",  -- INDEX 942
2037
        B"01101101" when B"1110101111",  -- INDEX 943
2038
        B"01111110" when B"1110110000",  -- INDEX 944
2039
        B"01111101" when B"1110110001",  -- INDEX 945
2040
        B"01101000" when B"1110110010",  -- INDEX 946
2041
        B"01000011" when B"1110110011",  -- INDEX 947
2042
        B"00010100" when B"1110110100",  -- INDEX 948
2043
        B"11100010" when B"1110110101",  -- INDEX 949
2044
        B"10110100" when B"1110110110",  -- INDEX 950
2045
        B"10010010" when B"1110110111",  -- INDEX 951
2046
        B"10000001" when B"1110111000",  -- INDEX 952
2047
        B"10000100" when B"1110111001",  -- INDEX 953
2048
        B"10011010" when B"1110111010",  -- INDEX 954
2049
        B"10111111" when B"1110111011",  -- INDEX 955
2050
        B"11101110" when B"1110111100",  -- INDEX 956
2051
        B"00100001" when B"1110111101",  -- INDEX 957
2052
        B"01001110" when B"1110111110",  -- INDEX 958
2053
        B"01101111" when B"1110111111",  -- INDEX 959
2054
        B"01111111" when B"1111000000",  -- INDEX 960
2055
        B"01111011" when B"1111000001",  -- INDEX 961
2056
        B"01100101" when B"1111000010",  -- INDEX 962
2057
        B"00111111" when B"1111000011",  -- INDEX 963
2058
        B"00001111" when B"1111000100",  -- INDEX 964
2059
        B"11011101" when B"1111000101",  -- INDEX 965
2060
        B"10110000" when B"1111000110",  -- INDEX 966
2061
        B"10010000" when B"1111000111",  -- INDEX 967
2062
        B"10000001" when B"1111001000",  -- INDEX 968
2063
        B"10000101" when B"1111001001",  -- INDEX 969
2064
        B"10011101" when B"1111001010",  -- INDEX 970
2065
        B"11000011" when B"1111001011",  -- INDEX 971
2066
        B"11110011" when B"1111001100",  -- INDEX 972
2067
        B"00100110" when B"1111001101",  -- INDEX 973
2068
        B"01010010" when B"1111001110",  -- INDEX 974
2069
        B"01110001" when B"1111001111",  -- INDEX 975
2070
        B"01111111" when B"1111010000",  -- INDEX 976
2071
        B"01111010" when B"1111010001",  -- INDEX 977
2072
        B"01100001" when B"1111010010",  -- INDEX 978
2073
        B"00111010" when B"1111010011",  -- INDEX 979
2074
        B"00001001" when B"1111010100",  -- INDEX 980
2075
        B"11011000" when B"1111010101",  -- INDEX 981
2076
        B"10101100" when B"1111010110",  -- INDEX 982
2077
        B"10001101" when B"1111010111",  -- INDEX 983
2078
        B"10000000" when B"1111011000",  -- INDEX 984
2079
        B"10000111" when B"1111011001",  -- INDEX 985
2080
        B"10100001" when B"1111011010",  -- INDEX 986
2081
        B"11001001" when B"1111011011",  -- INDEX 987
2082
        B"11111010" when B"1111011100",  -- INDEX 988
2083
        B"00101100" when B"1111011101",  -- INDEX 989
2084
        B"01010111" when B"1111011110",  -- INDEX 990
2085
        B"01110100" when B"1111011111",  -- INDEX 991
2086
        B"01111111" when B"1111100000",  -- INDEX 992
2087
        B"01111000" when B"1111100001",  -- INDEX 993
2088
        B"01011101" when B"1111100010",  -- INDEX 994
2089
        B"00110100" when B"1111100011",  -- INDEX 995
2090
        B"00000010" when B"1111100100",  -- INDEX 996
2091
        B"11010001" when B"1111100101",  -- INDEX 997
2092
        B"10100111" when B"1111100110",  -- INDEX 998
2093
        B"10001010" when B"1111100111",  -- INDEX 999
2094
 
2095
-- END INPUT FM SIGNAL
2096
        B"00000000" when others;
2097
 
2098
end input_data;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.