OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [bench_xil/] [input_fm_xil.vhdl] - Blame information for rev 36

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 14 arif_endro
-- $Id: input_fm_xil.vhdl,v 1.3 2005-03-04 08:04:49 arif_endro Exp $
2 2 arif_endro
-------------------------------------------------------------------------------
3
-- Title       : Input signal FM For Xilinx
4
-- Project     : FM Receiver 
5
-------------------------------------------------------------------------------
6
-- File        : input_fm.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2004/12/22
9 13 arif_endro
-- Last update :
10
-- Simulators  :
11
-- Synthesizers:
12 2 arif_endro
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Input signal FM 1000 signal
15
-------------------------------------------------------------------------------
16 13 arif_endro
-- Copyright (C) 2004 Arif E. Nugroho
17 2 arif_endro
-- This VHDL design file is an open design; you can redistribute it and/or
18
-- modify it and/or implement it after contacting the author
19
-------------------------------------------------------------------------------
20 13 arif_endro
-------------------------------------------------------------------------------
21
-- 
22
--      THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
23
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
24
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
25
-- ASSOCIATED DISCLAIMER.
26
-- 
27
-------------------------------------------------------------------------------
28
-- 
29
--      THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
30
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
31
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
32
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
33
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
34
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
35
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
36
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
37
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
38
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
39
-- 
40
-------------------------------------------------------------------------------
41 2 arif_endro
 
42
library IEEE;
43
use IEEE.std_logic_1164.all;
44
 
45
entity input_fm is
46
   port (
47
   clock              : in  std_logic;
48
   clear              : in  std_logic;
49
   test_signal_fm     : out bit_vector (07 downto 0);
50
   test_signal_fmTri  : out bit_vector (07 downto 0)
51
   );
52
end input_fm;
53
 
54
architecture input_data of input_fm is
55
component adder_10bit
56
        port (
57
        addend_10bit          : in  bit_vector (09 downto 0);
58
        augend_10bit          : in  bit_vector (09 downto 0);
59
        adder10_output        : out bit_vector (10 downto 0)
60
        );
61
end component;
62
 
63
signal test_signal_fm_int    : bit_vector (07 downto 0);
64
signal test_signal_fmTri_int : bit_vector (07 downto 0);
65
signal counter               : bit_vector (09 downto 0);
66
signal counter_tmp           : bit_vector (10 downto 0);
67
signal one_increment         : bit_vector (09 downto 0);
68
begin
69
 
70
 
71
    one_increment (00) <= '1';
72
    one_increment (01) <= '0';
73
    one_increment (02) <= '0';
74
    one_increment (03) <= '0';
75
    one_increment (04) <= '0';
76
    one_increment (05) <= '0';
77
    one_increment (06) <= '0';
78
    one_increment (07) <= '0';
79
    one_increment (08) <= '0';
80
    one_increment (09) <= '0';
81
 
82
counter_one : adder_10bit
83
    port map (
84
    addend_10bit   => counter,
85
    augend_10bit   => one_increment,
86
    adder10_output => counter_tmp
87
    );
88
 
89
process (clock, clear)
90
begin
91
    if (((clock = '1') and (not( clear = '1'))) and clock'event) then
92
                counter(09 downto 0) <= counter_tmp(09 downto 0);
93
                test_signal_fm    <= test_signal_fm_int;
94
                test_signal_fmTri <= test_signal_fmTri_int;
95
    elsif (clear = '1') then
96
                counter           <= (others => '0');
97
                test_signal_fm    <= (others => '0');
98
                test_signal_fmTri <= (others => '0');
99
    end if;
100
end process;
101
 
102
        with counter (09 downto 0) select
103
        test_signal_fm_int <=
104
-- START INPUT FM SIGNAL
105
 
106
        B"01111111" when B"0000000000",  -- INDEX 0
107
        B"01110110" when B"0000000001",  -- INDEX 1
108
        B"01011010" when B"0000000010",  -- INDEX 2
109
        B"00110000" when B"0000000011",  -- INDEX 3
110
        B"11111110" when B"0000000100",  -- INDEX 4
111
        B"11001101" when B"0000000101",  -- INDEX 5
112
        B"10100011" when B"0000000110",  -- INDEX 6
113
        B"10001000" when B"0000000111",  -- INDEX 7
114
        B"10000000" when B"0000001000",  -- INDEX 8
115
        B"10001100" when B"0000001001",  -- INDEX 9
116
        B"10101001" when B"0000001010",  -- INDEX 10
117
        B"11010100" when B"0000001011",  -- INDEX 11
118
        B"00000110" when B"0000001100",  -- INDEX 12
119
        B"00110111" when B"0000001101",  -- INDEX 13
120
        B"01011111" when B"0000001110",  -- INDEX 14
121
        B"01111001" when B"0000001111",  -- INDEX 15
122
        B"01111111" when B"0000010000",  -- INDEX 16
123
        B"01110011" when B"0000010001",  -- INDEX 17
124
        B"01010100" when B"0000010010",  -- INDEX 18
125
        B"00101000" when B"0000010011",  -- INDEX 19
126
        B"11110110" when B"0000010100",  -- INDEX 20
127
        B"11000101" when B"0000010101",  -- INDEX 21
128
        B"10011110" when B"0000010110",  -- INDEX 22
129
        B"10000110" when B"0000010111",  -- INDEX 23
130
        B"10000001" when B"0000011000",  -- INDEX 24
131
        B"10001111" when B"0000011001",  -- INDEX 25
132
        B"10101111" when B"0000011010",  -- INDEX 26
133
        B"11011100" when B"0000011011",  -- INDEX 27
134
        B"00001110" when B"0000011100",  -- INDEX 28
135
        B"00111110" when B"0000011101",  -- INDEX 29
136
        B"01100101" when B"0000011110",  -- INDEX 30
137
        B"01111011" when B"0000011111",  -- INDEX 31
138
        B"01111111" when B"0000100000",  -- INDEX 32
139
        B"01101111" when B"0000100001",  -- INDEX 33
140
        B"01001110" when B"0000100010",  -- INDEX 34
141
        B"00100000" when B"0000100011",  -- INDEX 35
142
        B"11101110" when B"0000100100",  -- INDEX 36
143
        B"10111110" when B"0000100101",  -- INDEX 37
144
        B"10011001" when B"0000100110",  -- INDEX 38
145
        B"10000100" when B"0000100111",  -- INDEX 39
146
        B"10000010" when B"0000101000",  -- INDEX 40
147
        B"10010011" when B"0000101001",  -- INDEX 41
148
        B"10110110" when B"0000101010",  -- INDEX 42
149
        B"11100100" when B"0000101011",  -- INDEX 43
150
        B"00010110" when B"0000101100",  -- INDEX 44
151
        B"01000101" when B"0000101101",  -- INDEX 45
152
        B"01101001" when B"0000101110",  -- INDEX 46
153
        B"01111101" when B"0000101111",  -- INDEX 47
154
        B"01111110" when B"0000110000",  -- INDEX 48
155
        B"01101011" when B"0000110001",  -- INDEX 49
156
        B"01000111" when B"0000110010",  -- INDEX 50
157
        B"00011000" when B"0000110011",  -- INDEX 51
158
        B"11100110" when B"0000110100",  -- INDEX 52
159
        B"10111000" when B"0000110101",  -- INDEX 53
160
        B"10010100" when B"0000110110",  -- INDEX 54
161
        B"10000010" when B"0000110111",  -- INDEX 55
162
        B"10000011" when B"0000111000",  -- INDEX 56
163
        B"10011000" when B"0000111001",  -- INDEX 57
164
        B"10111100" when B"0000111010",  -- INDEX 58
165
        B"11101011" when B"0000111011",  -- INDEX 59
166
        B"00011110" when B"0000111100",  -- INDEX 60
167
        B"01001100" when B"0000111101",  -- INDEX 61
168
        B"01101110" when B"0000111110",  -- INDEX 62
169
        B"01111111" when B"0000111111",  -- INDEX 63
170
        B"01111100" when B"0001000000",  -- INDEX 64
171
        B"01100110" when B"0001000001",  -- INDEX 65
172
        B"01000000" when B"0001000010",  -- INDEX 66
173
        B"00010001" when B"0001000011",  -- INDEX 67
174
        B"11011110" when B"0001000100",  -- INDEX 68
175
        B"10110001" when B"0001000101",  -- INDEX 69
176
        B"10010000" when B"0001000110",  -- INDEX 70
177
        B"10000001" when B"0001000111",  -- INDEX 71
178
        B"10000101" when B"0001001000",  -- INDEX 72
179
        B"10011100" when B"0001001001",  -- INDEX 73
180
        B"11000011" when B"0001001010",  -- INDEX 74
181
        B"11110011" when B"0001001011",  -- INDEX 75
182
        B"00100110" when B"0001001100",  -- INDEX 76
183
        B"01010010" when B"0001001101",  -- INDEX 77
184
        B"01110010" when B"0001001110",  -- INDEX 78
185
        B"01111111" when B"0001001111",  -- INDEX 79
186
        B"01111010" when B"0001010000",  -- INDEX 80
187
        B"01100001" when B"0001010001",  -- INDEX 81
188
        B"00111001" when B"0001010010",  -- INDEX 82
189
        B"00001001" when B"0001010011",  -- INDEX 83
190
        B"11010111" when B"0001010100",  -- INDEX 84
191
        B"10101011" when B"0001010101",  -- INDEX 85
192
        B"10001101" when B"0001010110",  -- INDEX 86
193
        B"10000000" when B"0001010111",  -- INDEX 87
194
        B"10001000" when B"0001011000",  -- INDEX 88
195
        B"10100010" when B"0001011001",  -- INDEX 89
196
        B"11001010" when B"0001011010",  -- INDEX 90
197
        B"11111011" when B"0001011011",  -- INDEX 91
198
        B"00101101" when B"0001011100",  -- INDEX 92
199
        B"01011000" when B"0001011101",  -- INDEX 93
200
        B"01110101" when B"0001011110",  -- INDEX 94
201
        B"01111111" when B"0001011111",  -- INDEX 95
202
        B"01110111" when B"0001100000",  -- INDEX 96
203
        B"01011100" when B"0001100001",  -- INDEX 97
204
        B"00110010" when B"0001100010",  -- INDEX 98
205
        B"00000001" when B"0001100011",  -- INDEX 99
206
        B"11001111" when B"0001100100",  -- INDEX 100
207
        B"10100101" when B"0001100101",  -- INDEX 101
208
        B"10001001" when B"0001100110",  -- INDEX 102
209
        B"10000000" when B"0001100111",  -- INDEX 103
210
        B"10001011" when B"0001101000",  -- INDEX 104
211
        B"10100111" when B"0001101001",  -- INDEX 105
212
        B"11010010" when B"0001101010",  -- INDEX 106
213
        B"00000100" when B"0001101011",  -- INDEX 107
214
        B"00110101" when B"0001101100",  -- INDEX 108
215
        B"01011110" when B"0001101101",  -- INDEX 109
216
        B"01111000" when B"0001101110",  -- INDEX 110
217
        B"01111111" when B"0001101111",  -- INDEX 111
218
        B"01110100" when B"0001110000",  -- INDEX 112
219
        B"01010110" when B"0001110001",  -- INDEX 113
220
        B"00101010" when B"0001110010",  -- INDEX 114
221
        B"11111000" when B"0001110011",  -- INDEX 115
222
        B"11001000" when B"0001110100",  -- INDEX 116
223
        B"10100000" when B"0001110101",  -- INDEX 117
224
        B"10000111" when B"0001110110",  -- INDEX 118
225
        B"10000000" when B"0001110111",  -- INDEX 119
226
        B"10001110" when B"0001111000",  -- INDEX 120
227
        B"10101101" when B"0001111001",  -- INDEX 121
228
        B"11011001" when B"0001111010",  -- INDEX 122
229
        B"00001100" when B"0001111011",  -- INDEX 123
230
        B"00111100" when B"0001111100",  -- INDEX 124
231
        B"01100011" when B"0001111101",  -- INDEX 125
232
        B"01111011" when B"0001111110",  -- INDEX 126
233
        B"01111111" when B"0001111111",  -- INDEX 127
234
        B"01110000" when B"0010000000",  -- INDEX 128
235
        B"01010000" when B"0010000001",  -- INDEX 129
236
        B"00100011" when B"0010000010",  -- INDEX 130
237
        B"11110000" when B"0010000011",  -- INDEX 131
238
        B"11000001" when B"0010000100",  -- INDEX 132
239
        B"10011011" when B"0010000101",  -- INDEX 133
240
        B"10000100" when B"0010000110",  -- INDEX 134
241
        B"10000001" when B"0010000111",  -- INDEX 135
242
        B"10010010" when B"0010001000",  -- INDEX 136
243
        B"10110100" when B"0010001001",  -- INDEX 137
244
        B"11100001" when B"0010001010",  -- INDEX 138
245
        B"00010100" when B"0010001011",  -- INDEX 139
246
        B"01000011" when B"0010001100",  -- INDEX 140
247
        B"01101000" when B"0010001101",  -- INDEX 141
248
        B"01111101" when B"0010001110",  -- INDEX 142
249
        B"01111110" when B"0010001111",  -- INDEX 143
250
        B"01101100" when B"0010010000",  -- INDEX 144
251
        B"01001001" when B"0010010001",  -- INDEX 145
252
        B"00011011" when B"0010010010",  -- INDEX 146
253
        B"11101001" when B"0010010011",  -- INDEX 147
254
        B"10111010" when B"0010010100",  -- INDEX 148
255
        B"10010110" when B"0010010101",  -- INDEX 149
256
        B"10000010" when B"0010010110",  -- INDEX 150
257
        B"10000011" when B"0010010111",  -- INDEX 151
258
        B"10010110" when B"0010011000",  -- INDEX 152
259
        B"10111010" when B"0010011001",  -- INDEX 153
260
        B"11101001" when B"0010011010",  -- INDEX 154
261
        B"00011011" when B"0010011011",  -- INDEX 155
262
        B"01001010" when B"0010011100",  -- INDEX 156
263
        B"01101100" when B"0010011101",  -- INDEX 157
264
        B"01111110" when B"0010011110",  -- INDEX 158
265
        B"01111101" when B"0010011111",  -- INDEX 159
266
        B"01101000" when B"0010100000",  -- INDEX 160
267
        B"01000010" when B"0010100001",  -- INDEX 161
268
        B"00010011" when B"0010100010",  -- INDEX 162
269
        B"11100001" when B"0010100011",  -- INDEX 163
270
        B"10110011" when B"0010100100",  -- INDEX 164
271
        B"10010010" when B"0010100101",  -- INDEX 165
272
        B"10000001" when B"0010100110",  -- INDEX 166
273
        B"10000100" when B"0010100111",  -- INDEX 167
274
        B"10011011" when B"0010101000",  -- INDEX 168
275
        B"11000001" when B"0010101001",  -- INDEX 169
276
        B"11110001" when B"0010101010",  -- INDEX 170
277
        B"00100011" when B"0010101011",  -- INDEX 171
278
        B"01010000" when B"0010101100",  -- INDEX 172
279
        B"01110000" when B"0010101101",  -- INDEX 173
280
        B"01111111" when B"0010101110",  -- INDEX 174
281
        B"01111010" when B"0010101111",  -- INDEX 175
282
        B"01100011" when B"0010110000",  -- INDEX 176
283
        B"00111011" when B"0010110001",  -- INDEX 177
284
        B"00001011" when B"0010110010",  -- INDEX 178
285
        B"11011001" when B"0010110011",  -- INDEX 179
286
        B"10101101" when B"0010110100",  -- INDEX 180
287
        B"10001110" when B"0010110101",  -- INDEX 181
288
        B"10000000" when B"0010110110",  -- INDEX 182
289
        B"10000111" when B"0010110111",  -- INDEX 183
290
        B"10100000" when B"0010111000",  -- INDEX 184
291
        B"11001000" when B"0010111001",  -- INDEX 185
292
        B"11111001" when B"0010111010",  -- INDEX 186
293
        B"00101011" when B"0010111011",  -- INDEX 187
294
        B"01010110" when B"0010111100",  -- INDEX 188
295
        B"01110100" when B"0010111101",  -- INDEX 189
296
        B"01111111" when B"0010111110",  -- INDEX 190
297
        B"01111000" when B"0010111111",  -- INDEX 191
298
        B"01011101" when B"0011000000",  -- INDEX 192
299
        B"00110100" when B"0011000001",  -- INDEX 193
300
        B"00000011" when B"0011000010",  -- INDEX 194
301
        B"11010001" when B"0011000011",  -- INDEX 195
302
        B"10100111" when B"0011000100",  -- INDEX 196
303
        B"10001010" when B"0011000101",  -- INDEX 197
304
        B"10000000" when B"0011000110",  -- INDEX 198
305
        B"10001010" when B"0011000111",  -- INDEX 199
306
        B"10100101" when B"0011001000",  -- INDEX 200
307
        B"11001111" when B"0011001001",  -- INDEX 201
308
        B"11111111" when B"0011001010",  -- INDEX 202
309
        B"00110000" when B"0011001011",  -- INDEX 203
310
        B"01011001" when B"0011001100",  -- INDEX 204
311
        B"01110101" when B"0011001101",  -- INDEX 205
312
        B"01111111" when B"0011001110",  -- INDEX 206
313
        B"01111000" when B"0011001111",  -- INDEX 207
314
        B"01011101" when B"0011010000",  -- INDEX 208
315
        B"00110101" when B"0011010001",  -- INDEX 209
316
        B"00000101" when B"0011010010",  -- INDEX 210
317
        B"11010100" when B"0011010011",  -- INDEX 211
318
        B"10101010" when B"0011010100",  -- INDEX 212
319
        B"10001100" when B"0011010101",  -- INDEX 213
320
        B"10000000" when B"0011010110",  -- INDEX 214
321
        B"10000111" when B"0011010111",  -- INDEX 215
322
        B"10100000" when B"0011011000",  -- INDEX 216
323
        B"11000111" when B"0011011001",  -- INDEX 217
324
        B"11110111" when B"0011011010",  -- INDEX 218
325
        B"00101000" when B"0011011011",  -- INDEX 219
326
        B"01010011" when B"0011011100",  -- INDEX 220
327
        B"01110010" when B"0011011101",  -- INDEX 221
328
        B"01111111" when B"0011011110",  -- INDEX 222
329
        B"01111010" when B"0011011111",  -- INDEX 223
330
        B"01100011" when B"0011100000",  -- INDEX 224
331
        B"00111100" when B"0011100001",  -- INDEX 225
332
        B"00001101" when B"0011100010",  -- INDEX 226
333
        B"11011100" when B"0011100011",  -- INDEX 227
334
        B"10110000" when B"0011100100",  -- INDEX 228
335
        B"10010000" when B"0011100101",  -- INDEX 229
336
        B"10000001" when B"0011100110",  -- INDEX 230
337
        B"10000101" when B"0011100111",  -- INDEX 231
338
        B"10011011" when B"0011101000",  -- INDEX 232
339
        B"11000000" when B"0011101001",  -- INDEX 233
340
        B"11101111" when B"0011101010",  -- INDEX 234
341
        B"00100000" when B"0011101011",  -- INDEX 235
342
        B"01001101" when B"0011101100",  -- INDEX 236
343
        B"01101110" when B"0011101101",  -- INDEX 237
344
        B"01111111" when B"0011101110",  -- INDEX 238
345
        B"01111100" when B"0011101111",  -- INDEX 239
346
        B"01101000" when B"0011110000",  -- INDEX 240
347
        B"01000011" when B"0011110001",  -- INDEX 241
348
        B"00010101" when B"0011110010",  -- INDEX 242
349
        B"11100100" when B"0011110011",  -- INDEX 243
350
        B"10110110" when B"0011110100",  -- INDEX 244
351
        B"10010100" when B"0011110101",  -- INDEX 245
352
        B"10000010" when B"0011110110",  -- INDEX 246
353
        B"10000011" when B"0011110111",  -- INDEX 247
354
        B"10010110" when B"0011111000",  -- INDEX 248
355
        B"10111001" when B"0011111001",  -- INDEX 249
356
        B"11100111" when B"0011111010",  -- INDEX 250
357
        B"00011000" when B"0011111011",  -- INDEX 251
358
        B"01000110" when B"0011111100",  -- INDEX 252
359
        B"01101010" when B"0011111101",  -- INDEX 253
360
        B"01111101" when B"0011111110",  -- INDEX 254
361
        B"01111110" when B"0011111111",  -- INDEX 255
362
        B"01101100" when B"0100000000",  -- INDEX 256
363
        B"01001010" when B"0100000001",  -- INDEX 257
364
        B"00011101" when B"0100000010",  -- INDEX 258
365
        B"11101011" when B"0100000011",  -- INDEX 259
366
        B"10111101" when B"0100000100",  -- INDEX 260
367
        B"10011001" when B"0100000101",  -- INDEX 261
368
        B"10000100" when B"0100000110",  -- INDEX 262
369
        B"10000001" when B"0100000111",  -- INDEX 263
370
        B"10010010" when B"0100001000",  -- INDEX 264
371
        B"10110011" when B"0100001001",  -- INDEX 265
372
        B"11011111" when B"0100001010",  -- INDEX 266
373
        B"00010001" when B"0100001011",  -- INDEX 267
374
        B"00111111" when B"0100001100",  -- INDEX 268
375
        B"01100101" when B"0100001101",  -- INDEX 269
376
        B"01111011" when B"0100001110",  -- INDEX 270
377
        B"01111111" when B"0100001111",  -- INDEX 271
378
        B"01110000" when B"0100010000",  -- INDEX 272
379
        B"01010000" when B"0100010001",  -- INDEX 273
380
        B"00100101" when B"0100010010",  -- INDEX 274
381
        B"11110011" when B"0100010011",  -- INDEX 275
382
        B"11000100" when B"0100010100",  -- INDEX 276
383
        B"10011110" when B"0100010101",  -- INDEX 277
384
        B"10000110" when B"0100010110",  -- INDEX 278
385
        B"10000000" when B"0100010111",  -- INDEX 279
386
        B"10001110" when B"0100011000",  -- INDEX 280
387
        B"10101100" when B"0100011001",  -- INDEX 281
388
        B"11010111" when B"0100011010",  -- INDEX 282
389
        B"00001001" when B"0100011011",  -- INDEX 283
390
        B"00111000" when B"0100011100",  -- INDEX 284
391
        B"01100000" when B"0100011101",  -- INDEX 285
392
        B"01111001" when B"0100011110",  -- INDEX 286
393
        B"01111111" when B"0100011111",  -- INDEX 287
394
        B"01110100" when B"0100100000",  -- INDEX 288
395
        B"01010111" when B"0100100001",  -- INDEX 289
396
        B"00101100" when B"0100100010",  -- INDEX 290
397
        B"11111011" when B"0100100011",  -- INDEX 291
398
        B"11001011" when B"0100100100",  -- INDEX 292
399
        B"10100011" when B"0100100101",  -- INDEX 293
400
        B"10001001" when B"0100100110",  -- INDEX 294
401
        B"10000000" when B"0100100111",  -- INDEX 295
402
        B"10001011" when B"0100101000",  -- INDEX 296
403
        B"10100111" when B"0100101001",  -- INDEX 297
404
        B"11010000" when B"0100101010",  -- INDEX 298
405
        B"00000001" when B"0100101011",  -- INDEX 299
406
        B"00110001" when B"0100101100",  -- INDEX 300
407
        B"01011010" when B"0100101101",  -- INDEX 301
408
        B"01110110" when B"0100101110",  -- INDEX 302
409
        B"01111111" when B"0100101111",  -- INDEX 303
410
        B"01110111" when B"0100110000",  -- INDEX 304
411
        B"01011100" when B"0100110001",  -- INDEX 305
412
        B"00110100" when B"0100110010",  -- INDEX 306
413
        B"00000100" when B"0100110011",  -- INDEX 307
414
        B"11010011" when B"0100110100",  -- INDEX 308
415
        B"10101001" when B"0100110101",  -- INDEX 309
416
        B"10001100" when B"0100110110",  -- INDEX 310
417
        B"10000000" when B"0100110111",  -- INDEX 311
418
        B"10001000" when B"0100111000",  -- INDEX 312
419
        B"10100001" when B"0100111001",  -- INDEX 313
420
        B"11001001" when B"0100111010",  -- INDEX 314
421
        B"11111000" when B"0100111011",  -- INDEX 315
422
        B"00101001" when B"0100111100",  -- INDEX 316
423
        B"01010100" when B"0100111101",  -- INDEX 317
424
        B"01110011" when B"0100111110",  -- INDEX 318
425
        B"01111111" when B"0100111111",  -- INDEX 319
426
        B"01111010" when B"0101000000",  -- INDEX 320
427
        B"01100010" when B"0101000001",  -- INDEX 321
428
        B"00111011" when B"0101000010",  -- INDEX 322
429
        B"00001100" when B"0101000011",  -- INDEX 323
430
        B"11011010" when B"0101000100",  -- INDEX 324
431
        B"10101111" when B"0101000101",  -- INDEX 325
432
        B"10001111" when B"0101000110",  -- INDEX 326
433
        B"10000001" when B"0101000111",  -- INDEX 327
434
        B"10000101" when B"0101001000",  -- INDEX 328
435
        B"10011100" when B"0101001001",  -- INDEX 329
436
        B"11000001" when B"0101001010",  -- INDEX 330
437
        B"11110000" when B"0101001011",  -- INDEX 331
438
        B"00100010" when B"0101001100",  -- INDEX 332
439
        B"01001110" when B"0101001101",  -- INDEX 333
440
        B"01101111" when B"0101001110",  -- INDEX 334
441
        B"01111111" when B"0101001111",  -- INDEX 335
442
        B"01111100" when B"0101010000",  -- INDEX 336
443
        B"01100111" when B"0101010001",  -- INDEX 337
444
        B"01000010" when B"0101010010",  -- INDEX 338
445
        B"00010100" when B"0101010011",  -- INDEX 339
446
        B"11100010" when B"0101010100",  -- INDEX 340
447
        B"10110101" when B"0101010101",  -- INDEX 341
448
        B"10010011" when B"0101010110",  -- INDEX 342
449
        B"10000010" when B"0101010111",  -- INDEX 343
450
        B"10000011" when B"0101011000",  -- INDEX 344
451
        B"10010111" when B"0101011001",  -- INDEX 345
452
        B"10111011" when B"0101011010",  -- INDEX 346
453
        B"11101001" when B"0101011011",  -- INDEX 347
454
        B"00011010" when B"0101011100",  -- INDEX 348
455
        B"01001000" when B"0101011101",  -- INDEX 349
456
        B"01101010" when B"0101011110",  -- INDEX 350
457
        B"01111101" when B"0101011111",  -- INDEX 351
458
        B"01111110" when B"0101100000",  -- INDEX 352
459
        B"01101011" when B"0101100001",  -- INDEX 353
460
        B"01001001" when B"0101100010",  -- INDEX 354
461
        B"00011011" when B"0101100011",  -- INDEX 355
462
        B"11101010" when B"0101100100",  -- INDEX 356
463
        B"10111100" when B"0101100101",  -- INDEX 357
464
        B"10011000" when B"0101100110",  -- INDEX 358
465
        B"10000011" when B"0101100111",  -- INDEX 359
466
        B"10000010" when B"0101101000",  -- INDEX 360
467
        B"10010011" when B"0101101001",  -- INDEX 361
468
        B"10110100" when B"0101101010",  -- INDEX 362
469
        B"11100001" when B"0101101011",  -- INDEX 363
470
        B"00010010" when B"0101101100",  -- INDEX 364
471
        B"01000001" when B"0101101101",  -- INDEX 365
472
        B"01100110" when B"0101101110",  -- INDEX 366
473
        B"01111100" when B"0101101111",  -- INDEX 367
474
        B"01111111" when B"0101110000",  -- INDEX 368
475
        B"01101111" when B"0101110001",  -- INDEX 369
476
        B"01001111" when B"0101110010",  -- INDEX 370
477
        B"00100011" when B"0101110011",  -- INDEX 371
478
        B"11110010" when B"0101110100",  -- INDEX 372
479
        B"11000011" when B"0101110101",  -- INDEX 373
480
        B"10011101" when B"0101110110",  -- INDEX 374
481
        B"10000110" when B"0101110111",  -- INDEX 375
482
        B"10000001" when B"0101111000",  -- INDEX 376
483
        B"10001111" when B"0101111001",  -- INDEX 377
484
        B"10101110" when B"0101111010",  -- INDEX 378
485
        B"11011001" when B"0101111011",  -- INDEX 379
486
        B"00001010" when B"0101111100",  -- INDEX 380
487
        B"00111010" when B"0101111101",  -- INDEX 381
488
        B"01100001" when B"0101111110",  -- INDEX 382
489
        B"01111001" when B"0101111111",  -- INDEX 383
490
        B"01111111" when B"0110000000",  -- INDEX 384
491
        B"01110011" when B"0110000001",  -- INDEX 385
492
        B"01010101" when B"0110000010",  -- INDEX 386
493
        B"00101011" when B"0110000011",  -- INDEX 387
494
        B"11111010" when B"0110000100",  -- INDEX 388
495
        B"11001010" when B"0110000101",  -- INDEX 389
496
        B"10100010" when B"0110000110",  -- INDEX 390
497
        B"10001000" when B"0110000111",  -- INDEX 391
498
        B"10000000" when B"0110001000",  -- INDEX 392
499
        B"10001011" when B"0110001001",  -- INDEX 393
500
        B"10101000" when B"0110001010",  -- INDEX 394
501
        B"11010001" when B"0110001011",  -- INDEX 395
502
        B"00000010" when B"0110001100",  -- INDEX 396
503
        B"00110010" when B"0110001101",  -- INDEX 397
504
        B"01011011" when B"0110001110",  -- INDEX 398
505
        B"01110110" when B"0110001111",  -- INDEX 399
506
        B"01111111" when B"0110010000",  -- INDEX 400
507
        B"01110110" when B"0110010001",  -- INDEX 401
508
        B"01011010" when B"0110010010",  -- INDEX 402
509
        B"00110000" when B"0110010011",  -- INDEX 403
510
        B"11111110" when B"0110010100",  -- INDEX 404
511
        B"11001101" when B"0110010101",  -- INDEX 405
512
        B"10100011" when B"0110010110",  -- INDEX 406
513
        B"10001000" when B"0110010111",  -- INDEX 407
514
        B"10000000" when B"0110011000",  -- INDEX 408
515
        B"10001100" when B"0110011001",  -- INDEX 409
516
        B"10101001" when B"0110011010",  -- INDEX 410
517
        B"11010100" when B"0110011011",  -- INDEX 411
518
        B"00000110" when B"0110011100",  -- INDEX 412
519
        B"00110111" when B"0110011101",  -- INDEX 413
520
        B"01011111" when B"0110011110",  -- INDEX 414
521
        B"01111001" when B"0110011111",  -- INDEX 415
522
        B"01111111" when B"0110100000",  -- INDEX 416
523
        B"01110011" when B"0110100001",  -- INDEX 417
524
        B"01010100" when B"0110100010",  -- INDEX 418
525
        B"00101000" when B"0110100011",  -- INDEX 419
526
        B"11110110" when B"0110100100",  -- INDEX 420
527
        B"11000101" when B"0110100101",  -- INDEX 421
528
        B"10011110" when B"0110100110",  -- INDEX 422
529
        B"10000110" when B"0110100111",  -- INDEX 423
530
        B"10000001" when B"0110101000",  -- INDEX 424
531
        B"10001111" when B"0110101001",  -- INDEX 425
532
        B"10101111" when B"0110101010",  -- INDEX 426
533
        B"11011100" when B"0110101011",  -- INDEX 427
534
        B"00001110" when B"0110101100",  -- INDEX 428
535
        B"00111110" when B"0110101101",  -- INDEX 429
536
        B"01100101" when B"0110101110",  -- INDEX 430
537
        B"01111011" when B"0110101111",  -- INDEX 431
538
        B"01111111" when B"0110110000",  -- INDEX 432
539
        B"01101111" when B"0110110001",  -- INDEX 433
540
        B"01001110" when B"0110110010",  -- INDEX 434
541
        B"00100000" when B"0110110011",  -- INDEX 435
542
        B"11101110" when B"0110110100",  -- INDEX 436
543
        B"10111110" when B"0110110101",  -- INDEX 437
544
        B"10011001" when B"0110110110",  -- INDEX 438
545
        B"10000100" when B"0110110111",  -- INDEX 439
546
        B"10000010" when B"0110111000",  -- INDEX 440
547
        B"10010011" when B"0110111001",  -- INDEX 441
548
        B"10110110" when B"0110111010",  -- INDEX 442
549
        B"11100100" when B"0110111011",  -- INDEX 443
550
        B"00010110" when B"0110111100",  -- INDEX 444
551
        B"01000101" when B"0110111101",  -- INDEX 445
552
        B"01101001" when B"0110111110",  -- INDEX 446
553
        B"01111101" when B"0110111111",  -- INDEX 447
554
        B"01111110" when B"0111000000",  -- INDEX 448
555
        B"01101011" when B"0111000001",  -- INDEX 449
556
        B"01000111" when B"0111000010",  -- INDEX 450
557
        B"00011000" when B"0111000011",  -- INDEX 451
558
        B"11100110" when B"0111000100",  -- INDEX 452
559
        B"10111000" when B"0111000101",  -- INDEX 453
560
        B"10010100" when B"0111000110",  -- INDEX 454
561
        B"10000010" when B"0111000111",  -- INDEX 455
562
        B"10000011" when B"0111001000",  -- INDEX 456
563
        B"10011000" when B"0111001001",  -- INDEX 457
564
        B"10111100" when B"0111001010",  -- INDEX 458
565
        B"11101011" when B"0111001011",  -- INDEX 459
566
        B"00011110" when B"0111001100",  -- INDEX 460
567
        B"01001100" when B"0111001101",  -- INDEX 461
568
        B"01101110" when B"0111001110",  -- INDEX 462
569
        B"01111111" when B"0111001111",  -- INDEX 463
570
        B"01111100" when B"0111010000",  -- INDEX 464
571
        B"01100110" when B"0111010001",  -- INDEX 465
572
        B"01000000" when B"0111010010",  -- INDEX 466
573
        B"00010001" when B"0111010011",  -- INDEX 467
574
        B"11011110" when B"0111010100",  -- INDEX 468
575
        B"10110001" when B"0111010101",  -- INDEX 469
576
        B"10010000" when B"0111010110",  -- INDEX 470
577
        B"10000001" when B"0111010111",  -- INDEX 471
578
        B"10000101" when B"0111011000",  -- INDEX 472
579
        B"10011100" when B"0111011001",  -- INDEX 473
580
        B"11000011" when B"0111011010",  -- INDEX 474
581
        B"11110011" when B"0111011011",  -- INDEX 475
582
        B"00100110" when B"0111011100",  -- INDEX 476
583
        B"01010010" when B"0111011101",  -- INDEX 477
584
        B"01110010" when B"0111011110",  -- INDEX 478
585
        B"01111111" when B"0111011111",  -- INDEX 479
586
        B"01111010" when B"0111100000",  -- INDEX 480
587
        B"01100001" when B"0111100001",  -- INDEX 481
588
        B"00111001" when B"0111100010",  -- INDEX 482
589
        B"00001001" when B"0111100011",  -- INDEX 483
590
        B"11010111" when B"0111100100",  -- INDEX 484
591
        B"10101011" when B"0111100101",  -- INDEX 485
592
        B"10001101" when B"0111100110",  -- INDEX 486
593
        B"10000000" when B"0111100111",  -- INDEX 487
594
        B"10001000" when B"0111101000",  -- INDEX 488
595
        B"10100010" when B"0111101001",  -- INDEX 489
596
        B"11001010" when B"0111101010",  -- INDEX 490
597
        B"11111011" when B"0111101011",  -- INDEX 491
598
        B"00101101" when B"0111101100",  -- INDEX 492
599
        B"01011000" when B"0111101101",  -- INDEX 493
600
        B"01110101" when B"0111101110",  -- INDEX 494
601
        B"01111111" when B"0111101111",  -- INDEX 495
602
        B"01110111" when B"0111110000",  -- INDEX 496
603
        B"01011100" when B"0111110001",  -- INDEX 497
604
        B"00110010" when B"0111110010",  -- INDEX 498
605
        B"00000001" when B"0111110011",  -- INDEX 499
606
        B"11001111" when B"0111110100",  -- INDEX 500
607
        B"10100101" when B"0111110101",  -- INDEX 501
608
        B"10001001" when B"0111110110",  -- INDEX 502
609
        B"10000000" when B"0111110111",  -- INDEX 503
610
        B"10001011" when B"0111111000",  -- INDEX 504
611
        B"10100111" when B"0111111001",  -- INDEX 505
612
        B"11010010" when B"0111111010",  -- INDEX 506
613
        B"00000100" when B"0111111011",  -- INDEX 507
614
        B"00110101" when B"0111111100",  -- INDEX 508
615
        B"01011110" when B"0111111101",  -- INDEX 509
616
        B"01111000" when B"0111111110",  -- INDEX 510
617
        B"01111111" when B"0111111111",  -- INDEX 511
618
        B"01110100" when B"1000000000",  -- INDEX 512
619
        B"01010110" when B"1000000001",  -- INDEX 513
620
        B"00101010" when B"1000000010",  -- INDEX 514
621
        B"11111000" when B"1000000011",  -- INDEX 515
622
        B"11001000" when B"1000000100",  -- INDEX 516
623
        B"10100000" when B"1000000101",  -- INDEX 517
624
        B"10000111" when B"1000000110",  -- INDEX 518
625
        B"10000000" when B"1000000111",  -- INDEX 519
626
        B"10001110" when B"1000001000",  -- INDEX 520
627
        B"10101101" when B"1000001001",  -- INDEX 521
628
        B"11011001" when B"1000001010",  -- INDEX 522
629
        B"00001100" when B"1000001011",  -- INDEX 523
630
        B"00111100" when B"1000001100",  -- INDEX 524
631
        B"01100011" when B"1000001101",  -- INDEX 525
632
        B"01111011" when B"1000001110",  -- INDEX 526
633
        B"01111111" when B"1000001111",  -- INDEX 527
634
        B"01110000" when B"1000010000",  -- INDEX 528
635
        B"01010000" when B"1000010001",  -- INDEX 529
636
        B"00100011" when B"1000010010",  -- INDEX 530
637
        B"11110000" when B"1000010011",  -- INDEX 531
638
        B"11000001" when B"1000010100",  -- INDEX 532
639
        B"10011011" when B"1000010101",  -- INDEX 533
640
        B"10000100" when B"1000010110",  -- INDEX 534
641
        B"10000001" when B"1000010111",  -- INDEX 535
642
        B"10010010" when B"1000011000",  -- INDEX 536
643
        B"10110100" when B"1000011001",  -- INDEX 537
644
        B"11100001" when B"1000011010",  -- INDEX 538
645
        B"00010100" when B"1000011011",  -- INDEX 539
646
        B"01000011" when B"1000011100",  -- INDEX 540
647
        B"01101000" when B"1000011101",  -- INDEX 541
648
        B"01111101" when B"1000011110",  -- INDEX 542
649
        B"01111110" when B"1000011111",  -- INDEX 543
650
        B"01101100" when B"1000100000",  -- INDEX 544
651
        B"01001001" when B"1000100001",  -- INDEX 545
652
        B"00011011" when B"1000100010",  -- INDEX 546
653
        B"11101001" when B"1000100011",  -- INDEX 547
654
        B"10111010" when B"1000100100",  -- INDEX 548
655
        B"10010110" when B"1000100101",  -- INDEX 549
656
        B"10000010" when B"1000100110",  -- INDEX 550
657
        B"10000011" when B"1000100111",  -- INDEX 551
658
        B"10010110" when B"1000101000",  -- INDEX 552
659
        B"10111010" when B"1000101001",  -- INDEX 553
660
        B"11101001" when B"1000101010",  -- INDEX 554
661
        B"00011011" when B"1000101011",  -- INDEX 555
662
        B"01001010" when B"1000101100",  -- INDEX 556
663
        B"01101100" when B"1000101101",  -- INDEX 557
664
        B"01111110" when B"1000101110",  -- INDEX 558
665
        B"01111101" when B"1000101111",  -- INDEX 559
666
        B"01101000" when B"1000110000",  -- INDEX 560
667
        B"01000010" when B"1000110001",  -- INDEX 561
668
        B"00010011" when B"1000110010",  -- INDEX 562
669
        B"11100001" when B"1000110011",  -- INDEX 563
670
        B"10110011" when B"1000110100",  -- INDEX 564
671
        B"10010010" when B"1000110101",  -- INDEX 565
672
        B"10000001" when B"1000110110",  -- INDEX 566
673
        B"10000100" when B"1000110111",  -- INDEX 567
674
        B"10011011" when B"1000111000",  -- INDEX 568
675
        B"11000001" when B"1000111001",  -- INDEX 569
676
        B"11110001" when B"1000111010",  -- INDEX 570
677
        B"00100011" when B"1000111011",  -- INDEX 571
678
        B"01010000" when B"1000111100",  -- INDEX 572
679
        B"01110000" when B"1000111101",  -- INDEX 573
680
        B"01111111" when B"1000111110",  -- INDEX 574
681
        B"01111010" when B"1000111111",  -- INDEX 575
682
        B"01100011" when B"1001000000",  -- INDEX 576
683
        B"00111011" when B"1001000001",  -- INDEX 577
684
        B"00001011" when B"1001000010",  -- INDEX 578
685
        B"11011001" when B"1001000011",  -- INDEX 579
686
        B"10101101" when B"1001000100",  -- INDEX 580
687
        B"10001110" when B"1001000101",  -- INDEX 581
688
        B"10000000" when B"1001000110",  -- INDEX 582
689
        B"10000111" when B"1001000111",  -- INDEX 583
690
        B"10100000" when B"1001001000",  -- INDEX 584
691
        B"11001000" when B"1001001001",  -- INDEX 585
692
        B"11111001" when B"1001001010",  -- INDEX 586
693
        B"00101011" when B"1001001011",  -- INDEX 587
694
        B"01010110" when B"1001001100",  -- INDEX 588
695
        B"01110100" when B"1001001101",  -- INDEX 589
696
        B"01111111" when B"1001001110",  -- INDEX 590
697
        B"01111000" when B"1001001111",  -- INDEX 591
698
        B"01011101" when B"1001010000",  -- INDEX 592
699
        B"00110100" when B"1001010001",  -- INDEX 593
700
        B"00000011" when B"1001010010",  -- INDEX 594
701
        B"11010001" when B"1001010011",  -- INDEX 595
702
        B"10100111" when B"1001010100",  -- INDEX 596
703
        B"10001010" when B"1001010101",  -- INDEX 597
704
        B"10000000" when B"1001010110",  -- INDEX 598
705
        B"10001010" when B"1001010111",  -- INDEX 599
706
        B"10100101" when B"1001011000",  -- INDEX 600
707
        B"11001111" when B"1001011001",  -- INDEX 601
708
        B"11111111" when B"1001011010",  -- INDEX 602
709
        B"00110000" when B"1001011011",  -- INDEX 603
710
        B"01011001" when B"1001011100",  -- INDEX 604
711
        B"01110101" when B"1001011101",  -- INDEX 605
712
        B"01111111" when B"1001011110",  -- INDEX 606
713
        B"01111000" when B"1001011111",  -- INDEX 607
714
        B"01011101" when B"1001100000",  -- INDEX 608
715
        B"00110101" when B"1001100001",  -- INDEX 609
716
        B"00000101" when B"1001100010",  -- INDEX 610
717
        B"11010100" when B"1001100011",  -- INDEX 611
718
        B"10101010" when B"1001100100",  -- INDEX 612
719
        B"10001100" when B"1001100101",  -- INDEX 613
720
        B"10000000" when B"1001100110",  -- INDEX 614
721
        B"10000111" when B"1001100111",  -- INDEX 615
722
        B"10100000" when B"1001101000",  -- INDEX 616
723
        B"11000111" when B"1001101001",  -- INDEX 617
724
        B"11110111" when B"1001101010",  -- INDEX 618
725
        B"00101000" when B"1001101011",  -- INDEX 619
726
        B"01010011" when B"1001101100",  -- INDEX 620
727
        B"01110010" when B"1001101101",  -- INDEX 621
728
        B"01111111" when B"1001101110",  -- INDEX 622
729
        B"01111010" when B"1001101111",  -- INDEX 623
730
        B"01100011" when B"1001110000",  -- INDEX 624
731
        B"00111100" when B"1001110001",  -- INDEX 625
732
        B"00001101" when B"1001110010",  -- INDEX 626
733
        B"11011100" when B"1001110011",  -- INDEX 627
734
        B"10110000" when B"1001110100",  -- INDEX 628
735
        B"10010000" when B"1001110101",  -- INDEX 629
736
        B"10000001" when B"1001110110",  -- INDEX 630
737
        B"10000101" when B"1001110111",  -- INDEX 631
738
        B"10011011" when B"1001111000",  -- INDEX 632
739
        B"11000000" when B"1001111001",  -- INDEX 633
740
        B"11101111" when B"1001111010",  -- INDEX 634
741
        B"00100000" when B"1001111011",  -- INDEX 635
742
        B"01001101" when B"1001111100",  -- INDEX 636
743
        B"01101110" when B"1001111101",  -- INDEX 637
744
        B"01111111" when B"1001111110",  -- INDEX 638
745
        B"01111100" when B"1001111111",  -- INDEX 639
746
        B"01101000" when B"1010000000",  -- INDEX 640
747
        B"01000011" when B"1010000001",  -- INDEX 641
748
        B"00010101" when B"1010000010",  -- INDEX 642
749
        B"11100100" when B"1010000011",  -- INDEX 643
750
        B"10110110" when B"1010000100",  -- INDEX 644
751
        B"10010100" when B"1010000101",  -- INDEX 645
752
        B"10000010" when B"1010000110",  -- INDEX 646
753
        B"10000011" when B"1010000111",  -- INDEX 647
754
        B"10010110" when B"1010001000",  -- INDEX 648
755
        B"10111001" when B"1010001001",  -- INDEX 649
756
        B"11100111" when B"1010001010",  -- INDEX 650
757
        B"00011000" when B"1010001011",  -- INDEX 651
758
        B"01000110" when B"1010001100",  -- INDEX 652
759
        B"01101010" when B"1010001101",  -- INDEX 653
760
        B"01111101" when B"1010001110",  -- INDEX 654
761
        B"01111110" when B"1010001111",  -- INDEX 655
762
        B"01101100" when B"1010010000",  -- INDEX 656
763
        B"01001010" when B"1010010001",  -- INDEX 657
764
        B"00011101" when B"1010010010",  -- INDEX 658
765
        B"11101011" when B"1010010011",  -- INDEX 659
766
        B"10111101" when B"1010010100",  -- INDEX 660
767
        B"10011001" when B"1010010101",  -- INDEX 661
768
        B"10000100" when B"1010010110",  -- INDEX 662
769
        B"10000001" when B"1010010111",  -- INDEX 663
770
        B"10010010" when B"1010011000",  -- INDEX 664
771
        B"10110011" when B"1010011001",  -- INDEX 665
772
        B"11011111" when B"1010011010",  -- INDEX 666
773
        B"00010001" when B"1010011011",  -- INDEX 667
774
        B"00111111" when B"1010011100",  -- INDEX 668
775
        B"01100101" when B"1010011101",  -- INDEX 669
776
        B"01111011" when B"1010011110",  -- INDEX 670
777
        B"01111111" when B"1010011111",  -- INDEX 671
778
        B"01110000" when B"1010100000",  -- INDEX 672
779
        B"01010000" when B"1010100001",  -- INDEX 673
780
        B"00100101" when B"1010100010",  -- INDEX 674
781
        B"11110011" when B"1010100011",  -- INDEX 675
782
        B"11000100" when B"1010100100",  -- INDEX 676
783
        B"10011110" when B"1010100101",  -- INDEX 677
784
        B"10000110" when B"1010100110",  -- INDEX 678
785
        B"10000000" when B"1010100111",  -- INDEX 679
786
        B"10001110" when B"1010101000",  -- INDEX 680
787
        B"10101100" when B"1010101001",  -- INDEX 681
788
        B"11010111" when B"1010101010",  -- INDEX 682
789
        B"00001001" when B"1010101011",  -- INDEX 683
790
        B"00111000" when B"1010101100",  -- INDEX 684
791
        B"01100000" when B"1010101101",  -- INDEX 685
792
        B"01111001" when B"1010101110",  -- INDEX 686
793
        B"01111111" when B"1010101111",  -- INDEX 687
794
        B"01110100" when B"1010110000",  -- INDEX 688
795
        B"01010111" when B"1010110001",  -- INDEX 689
796
        B"00101100" when B"1010110010",  -- INDEX 690
797
        B"11111011" when B"1010110011",  -- INDEX 691
798
        B"11001011" when B"1010110100",  -- INDEX 692
799
        B"10100011" when B"1010110101",  -- INDEX 693
800
        B"10001001" when B"1010110110",  -- INDEX 694
801
        B"10000000" when B"1010110111",  -- INDEX 695
802
        B"10001011" when B"1010111000",  -- INDEX 696
803
        B"10100111" when B"1010111001",  -- INDEX 697
804
        B"11010000" when B"1010111010",  -- INDEX 698
805
        B"00000001" when B"1010111011",  -- INDEX 699
806
        B"00110001" when B"1010111100",  -- INDEX 700
807
        B"01011010" when B"1010111101",  -- INDEX 701
808
        B"01110110" when B"1010111110",  -- INDEX 702
809
        B"01111111" when B"1010111111",  -- INDEX 703
810
        B"01110111" when B"1011000000",  -- INDEX 704
811
        B"01011100" when B"1011000001",  -- INDEX 705
812
        B"00110100" when B"1011000010",  -- INDEX 706
813
        B"00000100" when B"1011000011",  -- INDEX 707
814
        B"11010011" when B"1011000100",  -- INDEX 708
815
        B"10101001" when B"1011000101",  -- INDEX 709
816
        B"10001100" when B"1011000110",  -- INDEX 710
817
        B"10000000" when B"1011000111",  -- INDEX 711
818
        B"10001000" when B"1011001000",  -- INDEX 712
819
        B"10100001" when B"1011001001",  -- INDEX 713
820
        B"11001001" when B"1011001010",  -- INDEX 714
821
        B"11111000" when B"1011001011",  -- INDEX 715
822
        B"00101001" when B"1011001100",  -- INDEX 716
823
        B"01010100" when B"1011001101",  -- INDEX 717
824
        B"01110011" when B"1011001110",  -- INDEX 718
825
        B"01111111" when B"1011001111",  -- INDEX 719
826
        B"01111010" when B"1011010000",  -- INDEX 720
827
        B"01100010" when B"1011010001",  -- INDEX 721
828
        B"00111011" when B"1011010010",  -- INDEX 722
829
        B"00001100" when B"1011010011",  -- INDEX 723
830
        B"11011010" when B"1011010100",  -- INDEX 724
831
        B"10101111" when B"1011010101",  -- INDEX 725
832
        B"10001111" when B"1011010110",  -- INDEX 726
833
        B"10000001" when B"1011010111",  -- INDEX 727
834
        B"10000101" when B"1011011000",  -- INDEX 728
835
        B"10011100" when B"1011011001",  -- INDEX 729
836
        B"11000001" when B"1011011010",  -- INDEX 730
837
        B"11110000" when B"1011011011",  -- INDEX 731
838
        B"00100010" when B"1011011100",  -- INDEX 732
839
        B"01001110" when B"1011011101",  -- INDEX 733
840
        B"01101111" when B"1011011110",  -- INDEX 734
841
        B"01111111" when B"1011011111",  -- INDEX 735
842
        B"01111100" when B"1011100000",  -- INDEX 736
843
        B"01100111" when B"1011100001",  -- INDEX 737
844
        B"01000010" when B"1011100010",  -- INDEX 738
845
        B"00010100" when B"1011100011",  -- INDEX 739
846
        B"11100010" when B"1011100100",  -- INDEX 740
847
        B"10110101" when B"1011100101",  -- INDEX 741
848
        B"10010011" when B"1011100110",  -- INDEX 742
849
        B"10000010" when B"1011100111",  -- INDEX 743
850
        B"10000011" when B"1011101000",  -- INDEX 744
851
        B"10010111" when B"1011101001",  -- INDEX 745
852
        B"10111011" when B"1011101010",  -- INDEX 746
853
        B"11101001" when B"1011101011",  -- INDEX 747
854
        B"00011010" when B"1011101100",  -- INDEX 748
855
        B"01001000" when B"1011101101",  -- INDEX 749
856
        B"01101010" when B"1011101110",  -- INDEX 750
857
        B"01111101" when B"1011101111",  -- INDEX 751
858
        B"01111110" when B"1011110000",  -- INDEX 752
859
        B"01101011" when B"1011110001",  -- INDEX 753
860
        B"01001001" when B"1011110010",  -- INDEX 754
861
        B"00011011" when B"1011110011",  -- INDEX 755
862
        B"11101010" when B"1011110100",  -- INDEX 756
863
        B"10111100" when B"1011110101",  -- INDEX 757
864
        B"10011000" when B"1011110110",  -- INDEX 758
865
        B"10000011" when B"1011110111",  -- INDEX 759
866
        B"10000010" when B"1011111000",  -- INDEX 760
867
        B"10010011" when B"1011111001",  -- INDEX 761
868
        B"10110100" when B"1011111010",  -- INDEX 762
869
        B"11100001" when B"1011111011",  -- INDEX 763
870
        B"00010010" when B"1011111100",  -- INDEX 764
871
        B"01000001" when B"1011111101",  -- INDEX 765
872
        B"01100110" when B"1011111110",  -- INDEX 766
873
        B"01111100" when B"1011111111",  -- INDEX 767
874
        B"01111111" when B"1100000000",  -- INDEX 768
875
        B"01101111" when B"1100000001",  -- INDEX 769
876
        B"01001111" when B"1100000010",  -- INDEX 770
877
        B"00100011" when B"1100000011",  -- INDEX 771
878
        B"11110010" when B"1100000100",  -- INDEX 772
879
        B"11000011" when B"1100000101",  -- INDEX 773
880
        B"10011101" when B"1100000110",  -- INDEX 774
881
        B"10000110" when B"1100000111",  -- INDEX 775
882
        B"10000001" when B"1100001000",  -- INDEX 776
883
        B"10001111" when B"1100001001",  -- INDEX 777
884
        B"10101110" when B"1100001010",  -- INDEX 778
885
        B"11011001" when B"1100001011",  -- INDEX 779
886
        B"00001010" when B"1100001100",  -- INDEX 780
887
        B"00111010" when B"1100001101",  -- INDEX 781
888
        B"01100001" when B"1100001110",  -- INDEX 782
889
        B"01111001" when B"1100001111",  -- INDEX 783
890
        B"01111111" when B"1100010000",  -- INDEX 784
891
        B"01110011" when B"1100010001",  -- INDEX 785
892
        B"01010101" when B"1100010010",  -- INDEX 786
893
        B"00101011" when B"1100010011",  -- INDEX 787
894
        B"11111010" when B"1100010100",  -- INDEX 788
895
        B"11001010" when B"1100010101",  -- INDEX 789
896
        B"10100010" when B"1100010110",  -- INDEX 790
897
        B"10001000" when B"1100010111",  -- INDEX 791
898
        B"10000000" when B"1100011000",  -- INDEX 792
899
        B"10001011" when B"1100011001",  -- INDEX 793
900
        B"10101000" when B"1100011010",  -- INDEX 794
901
        B"11010001" when B"1100011011",  -- INDEX 795
902
        B"00000010" when B"1100011100",  -- INDEX 796
903
        B"00110010" when B"1100011101",  -- INDEX 797
904
        B"01011011" when B"1100011110",  -- INDEX 798
905
        B"01110110" when B"1100011111",  -- INDEX 799
906
        B"01111111" when B"1100100000",  -- INDEX 800
907
        B"01110110" when B"1100100001",  -- INDEX 801
908
        B"01011010" when B"1100100010",  -- INDEX 802
909
        B"00110000" when B"1100100011",  -- INDEX 803
910
        B"11111110" when B"1100100100",  -- INDEX 804
911
        B"11001101" when B"1100100101",  -- INDEX 805
912
        B"10100011" when B"1100100110",  -- INDEX 806
913
        B"10001000" when B"1100100111",  -- INDEX 807
914
        B"10000000" when B"1100101000",  -- INDEX 808
915
        B"10001100" when B"1100101001",  -- INDEX 809
916
        B"10101001" when B"1100101010",  -- INDEX 810
917
        B"11010100" when B"1100101011",  -- INDEX 811
918
        B"00000110" when B"1100101100",  -- INDEX 812
919
        B"00110111" when B"1100101101",  -- INDEX 813
920
        B"01011111" when B"1100101110",  -- INDEX 814
921
        B"01111001" when B"1100101111",  -- INDEX 815
922
        B"01111111" when B"1100110000",  -- INDEX 816
923
        B"01110011" when B"1100110001",  -- INDEX 817
924
        B"01010100" when B"1100110010",  -- INDEX 818
925
        B"00101000" when B"1100110011",  -- INDEX 819
926
        B"11110110" when B"1100110100",  -- INDEX 820
927
        B"11000101" when B"1100110101",  -- INDEX 821
928
        B"10011110" when B"1100110110",  -- INDEX 822
929
        B"10000110" when B"1100110111",  -- INDEX 823
930
        B"10000001" when B"1100111000",  -- INDEX 824
931
        B"10001111" when B"1100111001",  -- INDEX 825
932
        B"10101111" when B"1100111010",  -- INDEX 826
933
        B"11011100" when B"1100111011",  -- INDEX 827
934
        B"00001110" when B"1100111100",  -- INDEX 828
935
        B"00111110" when B"1100111101",  -- INDEX 829
936
        B"01100101" when B"1100111110",  -- INDEX 830
937
        B"01111011" when B"1100111111",  -- INDEX 831
938
        B"01111111" when B"1101000000",  -- INDEX 832
939
        B"01101111" when B"1101000001",  -- INDEX 833
940
        B"01001110" when B"1101000010",  -- INDEX 834
941
        B"00100000" when B"1101000011",  -- INDEX 835
942
        B"11101110" when B"1101000100",  -- INDEX 836
943
        B"10111110" when B"1101000101",  -- INDEX 837
944
        B"10011001" when B"1101000110",  -- INDEX 838
945
        B"10000100" when B"1101000111",  -- INDEX 839
946
        B"10000010" when B"1101001000",  -- INDEX 840
947
        B"10010011" when B"1101001001",  -- INDEX 841
948
        B"10110110" when B"1101001010",  -- INDEX 842
949
        B"11100100" when B"1101001011",  -- INDEX 843
950
        B"00010110" when B"1101001100",  -- INDEX 844
951
        B"01000101" when B"1101001101",  -- INDEX 845
952
        B"01101001" when B"1101001110",  -- INDEX 846
953
        B"01111101" when B"1101001111",  -- INDEX 847
954
        B"01111110" when B"1101010000",  -- INDEX 848
955
        B"01101011" when B"1101010001",  -- INDEX 849
956
        B"01000111" when B"1101010010",  -- INDEX 850
957
        B"00011000" when B"1101010011",  -- INDEX 851
958
        B"11100110" when B"1101010100",  -- INDEX 852
959
        B"10111000" when B"1101010101",  -- INDEX 853
960
        B"10010100" when B"1101010110",  -- INDEX 854
961
        B"10000010" when B"1101010111",  -- INDEX 855
962
        B"10000011" when B"1101011000",  -- INDEX 856
963
        B"10011000" when B"1101011001",  -- INDEX 857
964
        B"10111100" when B"1101011010",  -- INDEX 858
965
        B"11101011" when B"1101011011",  -- INDEX 859
966
        B"00011110" when B"1101011100",  -- INDEX 860
967
        B"01001100" when B"1101011101",  -- INDEX 861
968
        B"01101110" when B"1101011110",  -- INDEX 862
969
        B"01111111" when B"1101011111",  -- INDEX 863
970
        B"01111100" when B"1101100000",  -- INDEX 864
971
        B"01100110" when B"1101100001",  -- INDEX 865
972
        B"01000000" when B"1101100010",  -- INDEX 866
973
        B"00010001" when B"1101100011",  -- INDEX 867
974
        B"11011110" when B"1101100100",  -- INDEX 868
975
        B"10110001" when B"1101100101",  -- INDEX 869
976
        B"10010000" when B"1101100110",  -- INDEX 870
977
        B"10000001" when B"1101100111",  -- INDEX 871
978
        B"10000101" when B"1101101000",  -- INDEX 872
979
        B"10011100" when B"1101101001",  -- INDEX 873
980
        B"11000011" when B"1101101010",  -- INDEX 874
981
        B"11110011" when B"1101101011",  -- INDEX 875
982
        B"00100110" when B"1101101100",  -- INDEX 876
983
        B"01010010" when B"1101101101",  -- INDEX 877
984
        B"01110010" when B"1101101110",  -- INDEX 878
985
        B"01111111" when B"1101101111",  -- INDEX 879
986
        B"01111010" when B"1101110000",  -- INDEX 880
987
        B"01100001" when B"1101110001",  -- INDEX 881
988
        B"00111001" when B"1101110010",  -- INDEX 882
989
        B"00001001" when B"1101110011",  -- INDEX 883
990
        B"11010111" when B"1101110100",  -- INDEX 884
991
        B"10101011" when B"1101110101",  -- INDEX 885
992
        B"10001101" when B"1101110110",  -- INDEX 886
993
        B"10000000" when B"1101110111",  -- INDEX 887
994
        B"10001000" when B"1101111000",  -- INDEX 888
995
        B"10100010" when B"1101111001",  -- INDEX 889
996
        B"11001010" when B"1101111010",  -- INDEX 890
997
        B"11111011" when B"1101111011",  -- INDEX 891
998
        B"00101101" when B"1101111100",  -- INDEX 892
999
        B"01011000" when B"1101111101",  -- INDEX 893
1000
        B"01110101" when B"1101111110",  -- INDEX 894
1001
        B"01111111" when B"1101111111",  -- INDEX 895
1002
        B"01110111" when B"1110000000",  -- INDEX 896
1003
        B"01011100" when B"1110000001",  -- INDEX 897
1004
        B"00110010" when B"1110000010",  -- INDEX 898
1005
        B"00000001" when B"1110000011",  -- INDEX 899
1006
        B"11001111" when B"1110000100",  -- INDEX 900
1007
        B"10100101" when B"1110000101",  -- INDEX 901
1008
        B"10001001" when B"1110000110",  -- INDEX 902
1009
        B"10000000" when B"1110000111",  -- INDEX 903
1010
        B"10001011" when B"1110001000",  -- INDEX 904
1011
        B"10100111" when B"1110001001",  -- INDEX 905
1012
        B"11010010" when B"1110001010",  -- INDEX 906
1013
        B"00000100" when B"1110001011",  -- INDEX 907
1014
        B"00110101" when B"1110001100",  -- INDEX 908
1015
        B"01011110" when B"1110001101",  -- INDEX 909
1016
        B"01111000" when B"1110001110",  -- INDEX 910
1017
        B"01111111" when B"1110001111",  -- INDEX 911
1018
        B"01110100" when B"1110010000",  -- INDEX 912
1019
        B"01010110" when B"1110010001",  -- INDEX 913
1020
        B"00101010" when B"1110010010",  -- INDEX 914
1021
        B"11111000" when B"1110010011",  -- INDEX 915
1022
        B"11001000" when B"1110010100",  -- INDEX 916
1023
        B"10100000" when B"1110010101",  -- INDEX 917
1024
        B"10000111" when B"1110010110",  -- INDEX 918
1025
        B"10000000" when B"1110010111",  -- INDEX 919
1026
        B"10001110" when B"1110011000",  -- INDEX 920
1027
        B"10101101" when B"1110011001",  -- INDEX 921
1028
        B"11011001" when B"1110011010",  -- INDEX 922
1029
        B"00001100" when B"1110011011",  -- INDEX 923
1030
        B"00111100" when B"1110011100",  -- INDEX 924
1031
        B"01100011" when B"1110011101",  -- INDEX 925
1032
        B"01111011" when B"1110011110",  -- INDEX 926
1033
        B"01111111" when B"1110011111",  -- INDEX 927
1034
        B"01110000" when B"1110100000",  -- INDEX 928
1035
        B"01010000" when B"1110100001",  -- INDEX 929
1036
        B"00100011" when B"1110100010",  -- INDEX 930
1037
        B"11110000" when B"1110100011",  -- INDEX 931
1038
        B"11000001" when B"1110100100",  -- INDEX 932
1039
        B"10011011" when B"1110100101",  -- INDEX 933
1040
        B"10000100" when B"1110100110",  -- INDEX 934
1041
        B"10000001" when B"1110100111",  -- INDEX 935
1042
        B"10010010" when B"1110101000",  -- INDEX 936
1043
        B"10110100" when B"1110101001",  -- INDEX 937
1044
        B"11100001" when B"1110101010",  -- INDEX 938
1045
        B"00010100" when B"1110101011",  -- INDEX 939
1046
        B"01000011" when B"1110101100",  -- INDEX 940
1047
        B"01101000" when B"1110101101",  -- INDEX 941
1048
        B"01111101" when B"1110101110",  -- INDEX 942
1049
        B"01111110" when B"1110101111",  -- INDEX 943
1050
        B"01101100" when B"1110110000",  -- INDEX 944
1051
        B"01001001" when B"1110110001",  -- INDEX 945
1052
        B"00011011" when B"1110110010",  -- INDEX 946
1053
        B"11101001" when B"1110110011",  -- INDEX 947
1054
        B"10111010" when B"1110110100",  -- INDEX 948
1055
        B"10010110" when B"1110110101",  -- INDEX 949
1056
        B"10000010" when B"1110110110",  -- INDEX 950
1057
        B"10000011" when B"1110110111",  -- INDEX 951
1058
        B"10010110" when B"1110111000",  -- INDEX 952
1059
        B"10111010" when B"1110111001",  -- INDEX 953
1060
        B"11101001" when B"1110111010",  -- INDEX 954
1061
        B"00011011" when B"1110111011",  -- INDEX 955
1062
        B"01001010" when B"1110111100",  -- INDEX 956
1063
        B"01101100" when B"1110111101",  -- INDEX 957
1064
        B"01111110" when B"1110111110",  -- INDEX 958
1065
        B"01111101" when B"1110111111",  -- INDEX 959
1066
        B"01101000" when B"1111000000",  -- INDEX 960
1067
        B"01000010" when B"1111000001",  -- INDEX 961
1068
        B"00010011" when B"1111000010",  -- INDEX 962
1069
        B"11100001" when B"1111000011",  -- INDEX 963
1070
        B"10110011" when B"1111000100",  -- INDEX 964
1071
        B"10010010" when B"1111000101",  -- INDEX 965
1072
        B"10000001" when B"1111000110",  -- INDEX 966
1073
        B"10000100" when B"1111000111",  -- INDEX 967
1074
        B"10011011" when B"1111001000",  -- INDEX 968
1075
        B"11000001" when B"1111001001",  -- INDEX 969
1076
        B"11110001" when B"1111001010",  -- INDEX 970
1077
        B"00100011" when B"1111001011",  -- INDEX 971
1078
        B"01010000" when B"1111001100",  -- INDEX 972
1079
        B"01110000" when B"1111001101",  -- INDEX 973
1080
        B"01111111" when B"1111001110",  -- INDEX 974
1081
        B"01111010" when B"1111001111",  -- INDEX 975
1082
        B"01100011" when B"1111010000",  -- INDEX 976
1083
        B"00111011" when B"1111010001",  -- INDEX 977
1084
        B"00001011" when B"1111010010",  -- INDEX 978
1085
        B"11011001" when B"1111010011",  -- INDEX 979
1086
        B"10101101" when B"1111010100",  -- INDEX 980
1087
        B"10001110" when B"1111010101",  -- INDEX 981
1088
        B"10000000" when B"1111010110",  -- INDEX 982
1089
        B"10000111" when B"1111010111",  -- INDEX 983
1090
        B"10100000" when B"1111011000",  -- INDEX 984
1091
        B"11001000" when B"1111011001",  -- INDEX 985
1092
        B"11111001" when B"1111011010",  -- INDEX 986
1093
        B"00101011" when B"1111011011",  -- INDEX 987
1094
        B"01010110" when B"1111011100",  -- INDEX 988
1095
        B"01110100" when B"1111011101",  -- INDEX 989
1096
        B"01111111" when B"1111011110",  -- INDEX 990
1097
        B"01111000" when B"1111011111",  -- INDEX 991
1098
        B"01011101" when B"1111100000",  -- INDEX 992
1099
        B"00110100" when B"1111100001",  -- INDEX 993
1100
        B"00000011" when B"1111100010",  -- INDEX 994
1101
        B"11010001" when B"1111100011",  -- INDEX 995
1102
        B"10100111" when B"1111100100",  -- INDEX 996
1103
        B"10001010" when B"1111100101",  -- INDEX 997
1104
        B"10000000" when B"1111100110",  -- INDEX 998
1105
        B"10001010" when B"1111100111",  -- INDEX 999
1106
 
1107
-- END INPUT FM SIGNAL
1108
        B"00000000" when others;
1109
 
1110
        with counter (09 downto 0) select
1111
        test_signal_fmTri_int <=
1112
-- START INPUT FM-TRI SIGNAL
1113
 
1114
        B"01111111" when B"0000000000",  -- INDEX 0
1115
        B"01110110" when B"0000000001",  -- INDEX 1
1116
        B"01011011" when B"0000000010",  -- INDEX 2
1117
        B"00110010" when B"0000000011",  -- INDEX 3
1118
        B"00000010" when B"0000000100",  -- INDEX 4
1119
        B"11010001" when B"0000000101",  -- INDEX 5
1120
        B"10101000" when B"0000000110",  -- INDEX 6
1121
        B"10001011" when B"0000000111",  -- INDEX 7
1122
        B"10000000" when B"0000001000",  -- INDEX 8
1123
        B"10001000" when B"0000001001",  -- INDEX 9
1124
        B"10100010" when B"0000001010",  -- INDEX 10
1125
        B"11001010" when B"0000001011",  -- INDEX 11
1126
        B"11111010" when B"0000001100",  -- INDEX 12
1127
        B"00101011" when B"0000001101",  -- INDEX 13
1128
        B"01010110" when B"0000001110",  -- INDEX 14
1129
        B"01110011" when B"0000001111",  -- INDEX 15
1130
        B"01111111" when B"0000010000",  -- INDEX 16
1131
        B"01111001" when B"0000010001",  -- INDEX 17
1132
        B"01100000" when B"0000010010",  -- INDEX 18
1133
        B"00111001" when B"0000010011",  -- INDEX 19
1134
        B"00001001" when B"0000010100",  -- INDEX 20
1135
        B"11011000" when B"0000010101",  -- INDEX 21
1136
        B"10101101" when B"0000010110",  -- INDEX 22
1137
        B"10001110" when B"0000010111",  -- INDEX 23
1138
        B"10000000" when B"0000011000",  -- INDEX 24
1139
        B"10000110" when B"0000011001",  -- INDEX 25
1140
        B"10011110" when B"0000011010",  -- INDEX 26
1141
        B"11000100" when B"0000011011",  -- INDEX 27
1142
        B"11110100" when B"0000011100",  -- INDEX 28
1143
        B"00100101" when B"0000011101",  -- INDEX 29
1144
        B"01010001" when B"0000011110",  -- INDEX 30
1145
        B"01110001" when B"0000011111",  -- INDEX 31
1146
        B"01111111" when B"0000100000",  -- INDEX 32
1147
        B"01111011" when B"0000100001",  -- INDEX 33
1148
        B"01100100" when B"0000100010",  -- INDEX 34
1149
        B"00111110" when B"0000100011",  -- INDEX 35
1150
        B"00001111" when B"0000100100",  -- INDEX 36
1151
        B"11011101" when B"0000100101",  -- INDEX 37
1152
        B"10110001" when B"0000100110",  -- INDEX 38
1153
        B"10010001" when B"0000100111",  -- INDEX 39
1154
        B"10000001" when B"0000101000",  -- INDEX 40
1155
        B"10000100" when B"0000101001",  -- INDEX 41
1156
        B"10011010" when B"0000101010",  -- INDEX 42
1157
        B"11000000" when B"0000101011",  -- INDEX 43
1158
        B"11101111" when B"0000101100",  -- INDEX 44
1159
        B"00100000" when B"0000101101",  -- INDEX 45
1160
        B"01001101" when B"0000101110",  -- INDEX 46
1161
        B"01101110" when B"0000101111",  -- INDEX 47
1162
        B"01111111" when B"0000110000",  -- INDEX 48
1163
        B"01111100" when B"0000110001",  -- INDEX 49
1164
        B"01100111" when B"0000110010",  -- INDEX 50
1165
        B"01000010" when B"0000110011",  -- INDEX 51
1166
        B"00010011" when B"0000110100",  -- INDEX 52
1167
        B"11100010" when B"0000110101",  -- INDEX 53
1168
        B"10110101" when B"0000110110",  -- INDEX 54
1169
        B"10010011" when B"0000110111",  -- INDEX 55
1170
        B"10000010" when B"0000111000",  -- INDEX 56
1171
        B"10000011" when B"0000111001",  -- INDEX 57
1172
        B"10011000" when B"0000111010",  -- INDEX 58
1173
        B"10111100" when B"0000111011",  -- INDEX 59
1174
        B"11101011" when B"0000111100",  -- INDEX 60
1175
        B"00011101" when B"0000111101",  -- INDEX 61
1176
        B"01001010" when B"0000111110",  -- INDEX 62
1177
        B"01101100" when B"0000111111",  -- INDEX 63
1178
        B"01111110" when B"0001000000",  -- INDEX 64
1179
        B"01111101" when B"0001000001",  -- INDEX 65
1180
        B"01101001" when B"0001000010",  -- INDEX 66
1181
        B"01000101" when B"0001000011",  -- INDEX 67
1182
        B"00010111" when B"0001000100",  -- INDEX 68
1183
        B"11100101" when B"0001000101",  -- INDEX 69
1184
        B"10110111" when B"0001000110",  -- INDEX 70
1185
        B"10010101" when B"0001000111",  -- INDEX 71
1186
        B"10000010" when B"0001001000",  -- INDEX 72
1187
        B"10000011" when B"0001001001",  -- INDEX 73
1188
        B"10010110" when B"0001001010",  -- INDEX 74
1189
        B"10111010" when B"0001001011",  -- INDEX 75
1190
        B"11101000" when B"0001001100",  -- INDEX 76
1191
        B"00011010" when B"0001001101",  -- INDEX 77
1192
        B"01001000" when B"0001001110",  -- INDEX 78
1193
        B"01101011" when B"0001001111",  -- INDEX 79
1194
        B"01111110" when B"0001010000",  -- INDEX 80
1195
        B"01111101" when B"0001010001",  -- INDEX 81
1196
        B"01101010" when B"0001010010",  -- INDEX 82
1197
        B"01000111" when B"0001010011",  -- INDEX 83
1198
        B"00011001" when B"0001010100",  -- INDEX 84
1199
        B"11100111" when B"0001010101",  -- INDEX 85
1200
        B"10111001" when B"0001010110",  -- INDEX 86
1201
        B"10010101" when B"0001010111",  -- INDEX 87
1202
        B"10000010" when B"0001011000",  -- INDEX 88
1203
        B"10000010" when B"0001011001",  -- INDEX 89
1204
        B"10010110" when B"0001011010",  -- INDEX 90
1205
        B"10111001" when B"0001011011",  -- INDEX 91
1206
        B"11100111" when B"0001011100",  -- INDEX 92
1207
        B"00011001" when B"0001011101",  -- INDEX 93
1208
        B"01000111" when B"0001011110",  -- INDEX 94
1209
        B"01101010" when B"0001011111",  -- INDEX 95
1210
        B"01111110" when B"0001100000",  -- INDEX 96
1211
        B"01111110" when B"0001100001",  -- INDEX 97
1212
        B"01101011" when B"0001100010",  -- INDEX 98
1213
        B"01000111" when B"0001100011",  -- INDEX 99
1214
        B"00011001" when B"0001100100",  -- INDEX 100
1215
        B"11100111" when B"0001100101",  -- INDEX 101
1216
        B"10111001" when B"0001100110",  -- INDEX 102
1217
        B"10010110" when B"0001100111",  -- INDEX 103
1218
        B"10000011" when B"0001101000",  -- INDEX 104
1219
        B"10000010" when B"0001101001",  -- INDEX 105
1220
        B"10010101" when B"0001101010",  -- INDEX 106
1221
        B"10111001" when B"0001101011",  -- INDEX 107
1222
        B"11100111" when B"0001101100",  -- INDEX 108
1223
        B"00011001" when B"0001101101",  -- INDEX 109
1224
        B"01000111" when B"0001101110",  -- INDEX 110
1225
        B"01101010" when B"0001101111",  -- INDEX 111
1226
        B"01111110" when B"0001110000",  -- INDEX 112
1227
        B"01111110" when B"0001110001",  -- INDEX 113
1228
        B"01101010" when B"0001110010",  -- INDEX 114
1229
        B"01000111" when B"0001110011",  -- INDEX 115
1230
        B"00011001" when B"0001110100",  -- INDEX 116
1231
        B"11100111" when B"0001110101",  -- INDEX 117
1232
        B"10111000" when B"0001110110",  -- INDEX 118
1233
        B"10010101" when B"0001110111",  -- INDEX 119
1234
        B"10000010" when B"0001111000",  -- INDEX 120
1235
        B"10000011" when B"0001111001",  -- INDEX 121
1236
        B"10010110" when B"0001111010",  -- INDEX 122
1237
        B"10111010" when B"0001111011",  -- INDEX 123
1238
        B"11101000" when B"0001111100",  -- INDEX 124
1239
        B"00011010" when B"0001111101",  -- INDEX 125
1240
        B"01001000" when B"0001111110",  -- INDEX 126
1241
        B"01101011" when B"0001111111",  -- INDEX 127
1242
        B"01111110" when B"0010000000",  -- INDEX 128
1243
        B"01111101" when B"0010000001",  -- INDEX 129
1244
        B"01101001" when B"0010000010",  -- INDEX 130
1245
        B"01000101" when B"0010000011",  -- INDEX 131
1246
        B"00010111" when B"0010000100",  -- INDEX 132
1247
        B"11100101" when B"0010000101",  -- INDEX 133
1248
        B"10110111" when B"0010000110",  -- INDEX 134
1249
        B"10010100" when B"0010000111",  -- INDEX 135
1250
        B"10000010" when B"0010001000",  -- INDEX 136
1251
        B"10000011" when B"0010001001",  -- INDEX 137
1252
        B"10010111" when B"0010001010",  -- INDEX 138
1253
        B"10111100" when B"0010001011",  -- INDEX 139
1254
        B"11101011" when B"0010001100",  -- INDEX 140
1255
        B"00011101" when B"0010001101",  -- INDEX 141
1256
        B"01001010" when B"0010001110",  -- INDEX 142
1257
        B"01101101" when B"0010001111",  -- INDEX 143
1258
        B"01111110" when B"0010010000",  -- INDEX 144
1259
        B"01111101" when B"0010010001",  -- INDEX 145
1260
        B"01101000" when B"0010010010",  -- INDEX 146
1261
        B"01000011" when B"0010010011",  -- INDEX 147
1262
        B"00010100" when B"0010010100",  -- INDEX 148
1263
        B"11100010" when B"0010010101",  -- INDEX 149
1264
        B"10110100" when B"0010010110",  -- INDEX 150
1265
        B"10010010" when B"0010010111",  -- INDEX 151
1266
        B"10000001" when B"0010011000",  -- INDEX 152
1267
        B"10000100" when B"0010011001",  -- INDEX 153
1268
        B"10011010" when B"0010011010",  -- INDEX 154
1269
        B"10111111" when B"0010011011",  -- INDEX 155
1270
        B"11101110" when B"0010011100",  -- INDEX 156
1271
        B"00100001" when B"0010011101",  -- INDEX 157
1272
        B"01001110" when B"0010011110",  -- INDEX 158
1273
        B"01101111" when B"0010011111",  -- INDEX 159
1274
        B"01111111" when B"0010100000",  -- INDEX 160
1275
        B"01111011" when B"0010100001",  -- INDEX 161
1276
        B"01100101" when B"0010100010",  -- INDEX 162
1277
        B"00111111" when B"0010100011",  -- INDEX 163
1278
        B"00001111" when B"0010100100",  -- INDEX 164
1279
        B"11011101" when B"0010100101",  -- INDEX 165
1280
        B"10110000" when B"0010100110",  -- INDEX 166
1281
        B"10010000" when B"0010100111",  -- INDEX 167
1282
        B"10000001" when B"0010101000",  -- INDEX 168
1283
        B"10000101" when B"0010101001",  -- INDEX 169
1284
        B"10011101" when B"0010101010",  -- INDEX 170
1285
        B"11000011" when B"0010101011",  -- INDEX 171
1286
        B"11110011" when B"0010101100",  -- INDEX 172
1287
        B"00100110" when B"0010101101",  -- INDEX 173
1288
        B"01010010" when B"0010101110",  -- INDEX 174
1289
        B"01110001" when B"0010101111",  -- INDEX 175
1290
        B"01111111" when B"0010110000",  -- INDEX 176
1291
        B"01111010" when B"0010110001",  -- INDEX 177
1292
        B"01100001" when B"0010110010",  -- INDEX 178
1293
        B"00111010" when B"0010110011",  -- INDEX 179
1294
        B"00001001" when B"0010110100",  -- INDEX 180
1295
        B"11011000" when B"0010110101",  -- INDEX 181
1296
        B"10101100" when B"0010110110",  -- INDEX 182
1297
        B"10001101" when B"0010110111",  -- INDEX 183
1298
        B"10000000" when B"0010111000",  -- INDEX 184
1299
        B"10000111" when B"0010111001",  -- INDEX 185
1300
        B"10100001" when B"0010111010",  -- INDEX 186
1301
        B"11001001" when B"0010111011",  -- INDEX 187
1302
        B"11111010" when B"0010111100",  -- INDEX 188
1303
        B"00101100" when B"0010111101",  -- INDEX 189
1304
        B"01010111" when B"0010111110",  -- INDEX 190
1305
        B"01110100" when B"0010111111",  -- INDEX 191
1306
        B"01111111" when B"0011000000",  -- INDEX 192
1307
        B"01111000" when B"0011000001",  -- INDEX 193
1308
        B"01011101" when B"0011000010",  -- INDEX 194
1309
        B"00110100" when B"0011000011",  -- INDEX 195
1310
        B"00000010" when B"0011000100",  -- INDEX 196
1311
        B"11010001" when B"0011000101",  -- INDEX 197
1312
        B"10100111" when B"0011000110",  -- INDEX 198
1313
        B"10001010" when B"0011000111",  -- INDEX 199
1314
        B"10000000" when B"0011001000",  -- INDEX 200
1315
        B"10001010" when B"0011001001",  -- INDEX 201
1316
        B"10100110" when B"0011001010",  -- INDEX 202
1317
        B"11010000" when B"0011001011",  -- INDEX 203
1318
        B"00000001" when B"0011001100",  -- INDEX 204
1319
        B"00110011" when B"0011001101",  -- INDEX 205
1320
        B"01011100" when B"0011001110",  -- INDEX 206
1321
        B"01110111" when B"0011001111",  -- INDEX 207
1322
        B"01111111" when B"0011010000",  -- INDEX 208
1323
        B"01110101" when B"0011010001",  -- INDEX 209
1324
        B"01010111" when B"0011010010",  -- INDEX 210
1325
        B"00101101" when B"0011010011",  -- INDEX 211
1326
        B"11111011" when B"0011010100",  -- INDEX 212
1327
        B"11001010" when B"0011010101",  -- INDEX 213
1328
        B"10100001" when B"0011010110",  -- INDEX 214
1329
        B"10000111" when B"0011010111",  -- INDEX 215
1330
        B"10000000" when B"0011011000",  -- INDEX 216
1331
        B"10001101" when B"0011011001",  -- INDEX 217
1332
        B"10101011" when B"0011011010",  -- INDEX 218
1333
        B"11010111" when B"0011011011",  -- INDEX 219
1334
        B"00001001" when B"0011011100",  -- INDEX 220
1335
        B"00111001" when B"0011011101",  -- INDEX 221
1336
        B"01100001" when B"0011011110",  -- INDEX 222
1337
        B"01111010" when B"0011011111",  -- INDEX 223
1338
        B"01111111" when B"0011100000",  -- INDEX 224
1339
        B"01110010" when B"0011100001",  -- INDEX 225
1340
        B"01010010" when B"0011100010",  -- INDEX 226
1341
        B"00100110" when B"0011100011",  -- INDEX 227
1342
        B"11110100" when B"0011100100",  -- INDEX 228
1343
        B"11000100" when B"0011100101",  -- INDEX 229
1344
        B"10011101" when B"0011100110",  -- INDEX 230
1345
        B"10000101" when B"0011100111",  -- INDEX 231
1346
        B"10000001" when B"0011101000",  -- INDEX 232
1347
        B"10010000" when B"0011101001",  -- INDEX 233
1348
        B"10110000" when B"0011101010",  -- INDEX 234
1349
        B"11011100" when B"0011101011",  -- INDEX 235
1350
        B"00001110" when B"0011101100",  -- INDEX 236
1351
        B"00111110" when B"0011101101",  -- INDEX 237
1352
        B"01100101" when B"0011101110",  -- INDEX 238
1353
        B"01111011" when B"0011101111",  -- INDEX 239
1354
        B"01111111" when B"0011110000",  -- INDEX 240
1355
        B"01101111" when B"0011110001",  -- INDEX 241
1356
        B"01001110" when B"0011110010",  -- INDEX 242
1357
        B"00100001" when B"0011110011",  -- INDEX 243
1358
        B"11101111" when B"0011110100",  -- INDEX 244
1359
        B"11000000" when B"0011110101",  -- INDEX 245
1360
        B"10011010" when B"0011110110",  -- INDEX 246
1361
        B"10000100" when B"0011110111",  -- INDEX 247
1362
        B"10000001" when B"0011111000",  -- INDEX 248
1363
        B"10010010" when B"0011111001",  -- INDEX 249
1364
        B"10110011" when B"0011111010",  -- INDEX 250
1365
        B"11100001" when B"0011111011",  -- INDEX 251
1366
        B"00010011" when B"0011111100",  -- INDEX 252
1367
        B"01000010" when B"0011111101",  -- INDEX 253
1368
        B"01100111" when B"0011111110",  -- INDEX 254
1369
        B"01111100" when B"0011111111",  -- INDEX 255
1370
        B"01111110" when B"0100000000",  -- INDEX 256
1371
        B"01101101" when B"0100000001",  -- INDEX 257
1372
        B"01001011" when B"0100000010",  -- INDEX 258
1373
        B"00011101" when B"0100000011",  -- INDEX 259
1374
        B"11101011" when B"0100000100",  -- INDEX 260
1375
        B"10111100" when B"0100000101",  -- INDEX 261
1376
        B"10011000" when B"0100000110",  -- INDEX 262
1377
        B"10000011" when B"0100000111",  -- INDEX 263
1378
        B"10000010" when B"0100001000",  -- INDEX 264
1379
        B"10010100" when B"0100001001",  -- INDEX 265
1380
        B"10110110" when B"0100001010",  -- INDEX 266
1381
        B"11100100" when B"0100001011",  -- INDEX 267
1382
        B"00010110" when B"0100001100",  -- INDEX 268
1383
        B"01000101" when B"0100001101",  -- INDEX 269
1384
        B"01101001" when B"0100001110",  -- INDEX 270
1385
        B"01111101" when B"0100001111",  -- INDEX 271
1386
        B"01111110" when B"0100010000",  -- INDEX 272
1387
        B"01101100" when B"0100010001",  -- INDEX 273
1388
        B"01001001" when B"0100010010",  -- INDEX 274
1389
        B"00011011" when B"0100010011",  -- INDEX 275
1390
        B"11101001" when B"0100010100",  -- INDEX 276
1391
        B"10111010" when B"0100010101",  -- INDEX 277
1392
        B"10010110" when B"0100010110",  -- INDEX 278
1393
        B"10000011" when B"0100010111",  -- INDEX 279
1394
        B"10000010" when B"0100011000",  -- INDEX 280
1395
        B"10010101" when B"0100011001",  -- INDEX 281
1396
        B"10111000" when B"0100011010",  -- INDEX 282
1397
        B"11100110" when B"0100011011",  -- INDEX 283
1398
        B"00011000" when B"0100011100",  -- INDEX 284
1399
        B"01000110" when B"0100011101",  -- INDEX 285
1400
        B"01101010" when B"0100011110",  -- INDEX 286
1401
        B"01111101" when B"0100011111",  -- INDEX 287
1402
        B"01111110" when B"0100100000",  -- INDEX 288
1403
        B"01101011" when B"0100100001",  -- INDEX 289
1404
        B"01001000" when B"0100100010",  -- INDEX 290
1405
        B"00011001" when B"0100100011",  -- INDEX 291
1406
        B"11100111" when B"0100100100",  -- INDEX 292
1407
        B"10111001" when B"0100100101",  -- INDEX 293
1408
        B"10010110" when B"0100100110",  -- INDEX 294
1409
        B"10000011" when B"0100100111",  -- INDEX 295
1410
        B"10000010" when B"0100101000",  -- INDEX 296
1411
        B"10010101" when B"0100101001",  -- INDEX 297
1412
        B"10111001" when B"0100101010",  -- INDEX 298
1413
        B"11100111" when B"0100101011",  -- INDEX 299
1414
        B"00011001" when B"0100101100",  -- INDEX 300
1415
        B"01000111" when B"0100101101",  -- INDEX 301
1416
        B"01101010" when B"0100101110",  -- INDEX 302
1417
        B"01111101" when B"0100101111",  -- INDEX 303
1418
        B"01111110" when B"0100110000",  -- INDEX 304
1419
        B"01101011" when B"0100110001",  -- INDEX 305
1420
        B"01000111" when B"0100110010",  -- INDEX 306
1421
        B"00011001" when B"0100110011",  -- INDEX 307
1422
        B"11100111" when B"0100110100",  -- INDEX 308
1423
        B"10111001" when B"0100110101",  -- INDEX 309
1424
        B"10010110" when B"0100110110",  -- INDEX 310
1425
        B"10000011" when B"0100110111",  -- INDEX 311
1426
        B"10000010" when B"0100111000",  -- INDEX 312
1427
        B"10010101" when B"0100111001",  -- INDEX 313
1428
        B"10111000" when B"0100111010",  -- INDEX 314
1429
        B"11100110" when B"0100111011",  -- INDEX 315
1430
        B"00011000" when B"0100111100",  -- INDEX 316
1431
        B"01000110" when B"0100111101",  -- INDEX 317
1432
        B"01101010" when B"0100111110",  -- INDEX 318
1433
        B"01111101" when B"0100111111",  -- INDEX 319
1434
        B"01111110" when B"0101000000",  -- INDEX 320
1435
        B"01101011" when B"0101000001",  -- INDEX 321
1436
        B"01001000" when B"0101000010",  -- INDEX 322
1437
        B"00011010" when B"0101000011",  -- INDEX 323
1438
        B"11101001" when B"0101000100",  -- INDEX 324
1439
        B"10111010" when B"0101000101",  -- INDEX 325
1440
        B"10010111" when B"0101000110",  -- INDEX 326
1441
        B"10000011" when B"0101000111",  -- INDEX 327
1442
        B"10000010" when B"0101001000",  -- INDEX 328
1443
        B"10010100" when B"0101001001",  -- INDEX 329
1444
        B"10110111" when B"0101001010",  -- INDEX 330
1445
        B"11100100" when B"0101001011",  -- INDEX 331
1446
        B"00010110" when B"0101001100",  -- INDEX 332
1447
        B"01000101" when B"0101001101",  -- INDEX 333
1448
        B"01101001" when B"0101001110",  -- INDEX 334
1449
        B"01111101" when B"0101001111",  -- INDEX 335
1450
        B"01111110" when B"0101010000",  -- INDEX 336
1451
        B"01101100" when B"0101010001",  -- INDEX 337
1452
        B"01001010" when B"0101010010",  -- INDEX 338
1453
        B"00011101" when B"0101010011",  -- INDEX 339
1454
        B"11101011" when B"0101010100",  -- INDEX 340
1455
        B"10111101" when B"0101010101",  -- INDEX 341
1456
        B"10011000" when B"0101010110",  -- INDEX 342
1457
        B"10000011" when B"0101010111",  -- INDEX 343
1458
        B"10000010" when B"0101011000",  -- INDEX 344
1459
        B"10010011" when B"0101011001",  -- INDEX 345
1460
        B"10110100" when B"0101011010",  -- INDEX 346
1461
        B"11100001" when B"0101011011",  -- INDEX 347
1462
        B"00010011" when B"0101011100",  -- INDEX 348
1463
        B"01000010" when B"0101011101",  -- INDEX 349
1464
        B"01100111" when B"0101011110",  -- INDEX 350
1465
        B"01111100" when B"0101011111",  -- INDEX 351
1466
        B"01111111" when B"0101100000",  -- INDEX 352
1467
        B"01101110" when B"0101100001",  -- INDEX 353
1468
        B"01001101" when B"0101100010",  -- INDEX 354
1469
        B"00100000" when B"0101100011",  -- INDEX 355
1470
        B"11101111" when B"0101100100",  -- INDEX 356
1471
        B"11000000" when B"0101100101",  -- INDEX 357
1472
        B"10011011" when B"0101100110",  -- INDEX 358
1473
        B"10000100" when B"0101100111",  -- INDEX 359
1474
        B"10000001" when B"0101101000",  -- INDEX 360
1475
        B"10010001" when B"0101101001",  -- INDEX 361
1476
        B"10110001" when B"0101101010",  -- INDEX 362
1477
        B"11011101" when B"0101101011",  -- INDEX 363
1478
        B"00001111" when B"0101101100",  -- INDEX 364
1479
        B"00111110" when B"0101101101",  -- INDEX 365
1480
        B"01100100" when B"0101101110",  -- INDEX 366
1481
        B"01111011" when B"0101101111",  -- INDEX 367
1482
        B"01111111" when B"0101110000",  -- INDEX 368
1483
        B"01110001" when B"0101110001",  -- INDEX 369
1484
        B"01010001" when B"0101110010",  -- INDEX 370
1485
        B"00100101" when B"0101110011",  -- INDEX 371
1486
        B"11110100" when B"0101110100",  -- INDEX 372
1487
        B"11000100" when B"0101110101",  -- INDEX 373
1488
        B"10011110" when B"0101110110",  -- INDEX 374
1489
        B"10000110" when B"0101110111",  -- INDEX 375
1490
        B"10000000" when B"0101111000",  -- INDEX 376
1491
        B"10001110" when B"0101111001",  -- INDEX 377
1492
        B"10101101" when B"0101111010",  -- INDEX 378
1493
        B"11011000" when B"0101111011",  -- INDEX 379
1494
        B"00001001" when B"0101111100",  -- INDEX 380
1495
        B"00111001" when B"0101111101",  -- INDEX 381
1496
        B"01100000" when B"0101111110",  -- INDEX 382
1497
        B"01111001" when B"0101111111",  -- INDEX 383
1498
        B"01111111" when B"0110000000",  -- INDEX 384
1499
        B"01110011" when B"0110000001",  -- INDEX 385
1500
        B"01010110" when B"0110000010",  -- INDEX 386
1501
        B"00101011" when B"0110000011",  -- INDEX 387
1502
        B"11111010" when B"0110000100",  -- INDEX 388
1503
        B"11001010" when B"0110000101",  -- INDEX 389
1504
        B"10100010" when B"0110000110",  -- INDEX 390
1505
        B"10001000" when B"0110000111",  -- INDEX 391
1506
        B"10000000" when B"0110001000",  -- INDEX 392
1507
        B"10001011" when B"0110001001",  -- INDEX 393
1508
        B"10101000" when B"0110001010",  -- INDEX 394
1509
        B"11010001" when B"0110001011",  -- INDEX 395
1510
        B"00000010" when B"0110001100",  -- INDEX 396
1511
        B"00110010" when B"0110001101",  -- INDEX 397
1512
        B"01011011" when B"0110001110",  -- INDEX 398
1513
        B"01110110" when B"0110001111",  -- INDEX 399
1514
        B"01111111" when B"0110010000",  -- INDEX 400
1515
        B"01110110" when B"0110010001",  -- INDEX 401
1516
        B"01011011" when B"0110010010",  -- INDEX 402
1517
        B"00110010" when B"0110010011",  -- INDEX 403
1518
        B"00000010" when B"0110010100",  -- INDEX 404
1519
        B"11010001" when B"0110010101",  -- INDEX 405
1520
        B"10101000" when B"0110010110",  -- INDEX 406
1521
        B"10001011" when B"0110010111",  -- INDEX 407
1522
        B"10000000" when B"0110011000",  -- INDEX 408
1523
        B"10001000" when B"0110011001",  -- INDEX 409
1524
        B"10100010" when B"0110011010",  -- INDEX 410
1525
        B"11001010" when B"0110011011",  -- INDEX 411
1526
        B"11111010" when B"0110011100",  -- INDEX 412
1527
        B"00101011" when B"0110011101",  -- INDEX 413
1528
        B"01010110" when B"0110011110",  -- INDEX 414
1529
        B"01110011" when B"0110011111",  -- INDEX 415
1530
        B"01111111" when B"0110100000",  -- INDEX 416
1531
        B"01111001" when B"0110100001",  -- INDEX 417
1532
        B"01100000" when B"0110100010",  -- INDEX 418
1533
        B"00111001" when B"0110100011",  -- INDEX 419
1534
        B"00001001" when B"0110100100",  -- INDEX 420
1535
        B"11011000" when B"0110100101",  -- INDEX 421
1536
        B"10101101" when B"0110100110",  -- INDEX 422
1537
        B"10001110" when B"0110100111",  -- INDEX 423
1538
        B"10000000" when B"0110101000",  -- INDEX 424
1539
        B"10000110" when B"0110101001",  -- INDEX 425
1540
        B"10011110" when B"0110101010",  -- INDEX 426
1541
        B"11000100" when B"0110101011",  -- INDEX 427
1542
        B"11110100" when B"0110101100",  -- INDEX 428
1543
        B"00100101" when B"0110101101",  -- INDEX 429
1544
        B"01010001" when B"0110101110",  -- INDEX 430
1545
        B"01110001" when B"0110101111",  -- INDEX 431
1546
        B"01111111" when B"0110110000",  -- INDEX 432
1547
        B"01111011" when B"0110110001",  -- INDEX 433
1548
        B"01100100" when B"0110110010",  -- INDEX 434
1549
        B"00111110" when B"0110110011",  -- INDEX 435
1550
        B"00001111" when B"0110110100",  -- INDEX 436
1551
        B"11011101" when B"0110110101",  -- INDEX 437
1552
        B"10110001" when B"0110110110",  -- INDEX 438
1553
        B"10010001" when B"0110110111",  -- INDEX 439
1554
        B"10000001" when B"0110111000",  -- INDEX 440
1555
        B"10000100" when B"0110111001",  -- INDEX 441
1556
        B"10011010" when B"0110111010",  -- INDEX 442
1557
        B"11000000" when B"0110111011",  -- INDEX 443
1558
        B"11101111" when B"0110111100",  -- INDEX 444
1559
        B"00100000" when B"0110111101",  -- INDEX 445
1560
        B"01001101" when B"0110111110",  -- INDEX 446
1561
        B"01101110" when B"0110111111",  -- INDEX 447
1562
        B"01111111" when B"0111000000",  -- INDEX 448
1563
        B"01111100" when B"0111000001",  -- INDEX 449
1564
        B"01100111" when B"0111000010",  -- INDEX 450
1565
        B"01000010" when B"0111000011",  -- INDEX 451
1566
        B"00010011" when B"0111000100",  -- INDEX 452
1567
        B"11100010" when B"0111000101",  -- INDEX 453
1568
        B"10110101" when B"0111000110",  -- INDEX 454
1569
        B"10010011" when B"0111000111",  -- INDEX 455
1570
        B"10000010" when B"0111001000",  -- INDEX 456
1571
        B"10000011" when B"0111001001",  -- INDEX 457
1572
        B"10011000" when B"0111001010",  -- INDEX 458
1573
        B"10111100" when B"0111001011",  -- INDEX 459
1574
        B"11101011" when B"0111001100",  -- INDEX 460
1575
        B"00011101" when B"0111001101",  -- INDEX 461
1576
        B"01001010" when B"0111001110",  -- INDEX 462
1577
        B"01101100" when B"0111001111",  -- INDEX 463
1578
        B"01111110" when B"0111010000",  -- INDEX 464
1579
        B"01111101" when B"0111010001",  -- INDEX 465
1580
        B"01101001" when B"0111010010",  -- INDEX 466
1581
        B"01000101" when B"0111010011",  -- INDEX 467
1582
        B"00010111" when B"0111010100",  -- INDEX 468
1583
        B"11100101" when B"0111010101",  -- INDEX 469
1584
        B"10110111" when B"0111010110",  -- INDEX 470
1585
        B"10010101" when B"0111010111",  -- INDEX 471
1586
        B"10000010" when B"0111011000",  -- INDEX 472
1587
        B"10000011" when B"0111011001",  -- INDEX 473
1588
        B"10010110" when B"0111011010",  -- INDEX 474
1589
        B"10111010" when B"0111011011",  -- INDEX 475
1590
        B"11101000" when B"0111011100",  -- INDEX 476
1591
        B"00011010" when B"0111011101",  -- INDEX 477
1592
        B"01001000" when B"0111011110",  -- INDEX 478
1593
        B"01101011" when B"0111011111",  -- INDEX 479
1594
        B"01111110" when B"0111100000",  -- INDEX 480
1595
        B"01111101" when B"0111100001",  -- INDEX 481
1596
        B"01101010" when B"0111100010",  -- INDEX 482
1597
        B"01000111" when B"0111100011",  -- INDEX 483
1598
        B"00011001" when B"0111100100",  -- INDEX 484
1599
        B"11100111" when B"0111100101",  -- INDEX 485
1600
        B"10111001" when B"0111100110",  -- INDEX 486
1601
        B"10010101" when B"0111100111",  -- INDEX 487
1602
        B"10000010" when B"0111101000",  -- INDEX 488
1603
        B"10000010" when B"0111101001",  -- INDEX 489
1604
        B"10010110" when B"0111101010",  -- INDEX 490
1605
        B"10111001" when B"0111101011",  -- INDEX 491
1606
        B"11100111" when B"0111101100",  -- INDEX 492
1607
        B"00011001" when B"0111101101",  -- INDEX 493
1608
        B"01000111" when B"0111101110",  -- INDEX 494
1609
        B"01101010" when B"0111101111",  -- INDEX 495
1610
        B"01111110" when B"0111110000",  -- INDEX 496
1611
        B"01111110" when B"0111110001",  -- INDEX 497
1612
        B"01101011" when B"0111110010",  -- INDEX 498
1613
        B"01000111" when B"0111110011",  -- INDEX 499
1614
        B"00011001" when B"0111110100",  -- INDEX 500
1615
        B"11100111" when B"0111110101",  -- INDEX 501
1616
        B"10111001" when B"0111110110",  -- INDEX 502
1617
        B"10010110" when B"0111110111",  -- INDEX 503
1618
        B"10000011" when B"0111111000",  -- INDEX 504
1619
        B"10000010" when B"0111111001",  -- INDEX 505
1620
        B"10010101" when B"0111111010",  -- INDEX 506
1621
        B"10111001" when B"0111111011",  -- INDEX 507
1622
        B"11100111" when B"0111111100",  -- INDEX 508
1623
        B"00011001" when B"0111111101",  -- INDEX 509
1624
        B"01000111" when B"0111111110",  -- INDEX 510
1625
        B"01101010" when B"0111111111",  -- INDEX 511
1626
        B"01111110" when B"1000000000",  -- INDEX 512
1627
        B"01111110" when B"1000000001",  -- INDEX 513
1628
        B"01101010" when B"1000000010",  -- INDEX 514
1629
        B"01000111" when B"1000000011",  -- INDEX 515
1630
        B"00011001" when B"1000000100",  -- INDEX 516
1631
        B"11100111" when B"1000000101",  -- INDEX 517
1632
        B"10111000" when B"1000000110",  -- INDEX 518
1633
        B"10010101" when B"1000000111",  -- INDEX 519
1634
        B"10000010" when B"1000001000",  -- INDEX 520
1635
        B"10000011" when B"1000001001",  -- INDEX 521
1636
        B"10010110" when B"1000001010",  -- INDEX 522
1637
        B"10111010" when B"1000001011",  -- INDEX 523
1638
        B"11101000" when B"1000001100",  -- INDEX 524
1639
        B"00011010" when B"1000001101",  -- INDEX 525
1640
        B"01001000" when B"1000001110",  -- INDEX 526
1641
        B"01101011" when B"1000001111",  -- INDEX 527
1642
        B"01111110" when B"1000010000",  -- INDEX 528
1643
        B"01111101" when B"1000010001",  -- INDEX 529
1644
        B"01101001" when B"1000010010",  -- INDEX 530
1645
        B"01000101" when B"1000010011",  -- INDEX 531
1646
        B"00010111" when B"1000010100",  -- INDEX 532
1647
        B"11100101" when B"1000010101",  -- INDEX 533
1648
        B"10110111" when B"1000010110",  -- INDEX 534
1649
        B"10010100" when B"1000010111",  -- INDEX 535
1650
        B"10000010" when B"1000011000",  -- INDEX 536
1651
        B"10000011" when B"1000011001",  -- INDEX 537
1652
        B"10010111" when B"1000011010",  -- INDEX 538
1653
        B"10111100" when B"1000011011",  -- INDEX 539
1654
        B"11101011" when B"1000011100",  -- INDEX 540
1655
        B"00011101" when B"1000011101",  -- INDEX 541
1656
        B"01001010" when B"1000011110",  -- INDEX 542
1657
        B"01101101" when B"1000011111",  -- INDEX 543
1658
        B"01111110" when B"1000100000",  -- INDEX 544
1659
        B"01111101" when B"1000100001",  -- INDEX 545
1660
        B"01101000" when B"1000100010",  -- INDEX 546
1661
        B"01000011" when B"1000100011",  -- INDEX 547
1662
        B"00010100" when B"1000100100",  -- INDEX 548
1663
        B"11100010" when B"1000100101",  -- INDEX 549
1664
        B"10110100" when B"1000100110",  -- INDEX 550
1665
        B"10010010" when B"1000100111",  -- INDEX 551
1666
        B"10000001" when B"1000101000",  -- INDEX 552
1667
        B"10000100" when B"1000101001",  -- INDEX 553
1668
        B"10011010" when B"1000101010",  -- INDEX 554
1669
        B"10111111" when B"1000101011",  -- INDEX 555
1670
        B"11101110" when B"1000101100",  -- INDEX 556
1671
        B"00100001" when B"1000101101",  -- INDEX 557
1672
        B"01001110" when B"1000101110",  -- INDEX 558
1673
        B"01101111" when B"1000101111",  -- INDEX 559
1674
        B"01111111" when B"1000110000",  -- INDEX 560
1675
        B"01111011" when B"1000110001",  -- INDEX 561
1676
        B"01100101" when B"1000110010",  -- INDEX 562
1677
        B"00111111" when B"1000110011",  -- INDEX 563
1678
        B"00001111" when B"1000110100",  -- INDEX 564
1679
        B"11011101" when B"1000110101",  -- INDEX 565
1680
        B"10110000" when B"1000110110",  -- INDEX 566
1681
        B"10010000" when B"1000110111",  -- INDEX 567
1682
        B"10000001" when B"1000111000",  -- INDEX 568
1683
        B"10000101" when B"1000111001",  -- INDEX 569
1684
        B"10011101" when B"1000111010",  -- INDEX 570
1685
        B"11000011" when B"1000111011",  -- INDEX 571
1686
        B"11110011" when B"1000111100",  -- INDEX 572
1687
        B"00100110" when B"1000111101",  -- INDEX 573
1688
        B"01010010" when B"1000111110",  -- INDEX 574
1689
        B"01110001" when B"1000111111",  -- INDEX 575
1690
        B"01111111" when B"1001000000",  -- INDEX 576
1691
        B"01111010" when B"1001000001",  -- INDEX 577
1692
        B"01100001" when B"1001000010",  -- INDEX 578
1693
        B"00111010" when B"1001000011",  -- INDEX 579
1694
        B"00001001" when B"1001000100",  -- INDEX 580
1695
        B"11011000" when B"1001000101",  -- INDEX 581
1696
        B"10101100" when B"1001000110",  -- INDEX 582
1697
        B"10001101" when B"1001000111",  -- INDEX 583
1698
        B"10000000" when B"1001001000",  -- INDEX 584
1699
        B"10000111" when B"1001001001",  -- INDEX 585
1700
        B"10100001" when B"1001001010",  -- INDEX 586
1701
        B"11001001" when B"1001001011",  -- INDEX 587
1702
        B"11111010" when B"1001001100",  -- INDEX 588
1703
        B"00101100" when B"1001001101",  -- INDEX 589
1704
        B"01010111" when B"1001001110",  -- INDEX 590
1705
        B"01110100" when B"1001001111",  -- INDEX 591
1706
        B"01111111" when B"1001010000",  -- INDEX 592
1707
        B"01111000" when B"1001010001",  -- INDEX 593
1708
        B"01011101" when B"1001010010",  -- INDEX 594
1709
        B"00110100" when B"1001010011",  -- INDEX 595
1710
        B"00000010" when B"1001010100",  -- INDEX 596
1711
        B"11010001" when B"1001010101",  -- INDEX 597
1712
        B"10100111" when B"1001010110",  -- INDEX 598
1713
        B"10001010" when B"1001010111",  -- INDEX 599
1714
        B"10000000" when B"1001011000",  -- INDEX 600
1715
        B"10001010" when B"1001011001",  -- INDEX 601
1716
        B"10100110" when B"1001011010",  -- INDEX 602
1717
        B"11010000" when B"1001011011",  -- INDEX 603
1718
        B"00000001" when B"1001011100",  -- INDEX 604
1719
        B"00110011" when B"1001011101",  -- INDEX 605
1720
        B"01011100" when B"1001011110",  -- INDEX 606
1721
        B"01110111" when B"1001011111",  -- INDEX 607
1722
        B"01111111" when B"1001100000",  -- INDEX 608
1723
        B"01110101" when B"1001100001",  -- INDEX 609
1724
        B"01010111" when B"1001100010",  -- INDEX 610
1725
        B"00101101" when B"1001100011",  -- INDEX 611
1726
        B"11111011" when B"1001100100",  -- INDEX 612
1727
        B"11001010" when B"1001100101",  -- INDEX 613
1728
        B"10100001" when B"1001100110",  -- INDEX 614
1729
        B"10000111" when B"1001100111",  -- INDEX 615
1730
        B"10000000" when B"1001101000",  -- INDEX 616
1731
        B"10001101" when B"1001101001",  -- INDEX 617
1732
        B"10101011" when B"1001101010",  -- INDEX 618
1733
        B"11010111" when B"1001101011",  -- INDEX 619
1734
        B"00001001" when B"1001101100",  -- INDEX 620
1735
        B"00111001" when B"1001101101",  -- INDEX 621
1736
        B"01100001" when B"1001101110",  -- INDEX 622
1737
        B"01111010" when B"1001101111",  -- INDEX 623
1738
        B"01111111" when B"1001110000",  -- INDEX 624
1739
        B"01110010" when B"1001110001",  -- INDEX 625
1740
        B"01010010" when B"1001110010",  -- INDEX 626
1741
        B"00100110" when B"1001110011",  -- INDEX 627
1742
        B"11110100" when B"1001110100",  -- INDEX 628
1743
        B"11000100" when B"1001110101",  -- INDEX 629
1744
        B"10011101" when B"1001110110",  -- INDEX 630
1745
        B"10000101" when B"1001110111",  -- INDEX 631
1746
        B"10000001" when B"1001111000",  -- INDEX 632
1747
        B"10010000" when B"1001111001",  -- INDEX 633
1748
        B"10110000" when B"1001111010",  -- INDEX 634
1749
        B"11011100" when B"1001111011",  -- INDEX 635
1750
        B"00001110" when B"1001111100",  -- INDEX 636
1751
        B"00111110" when B"1001111101",  -- INDEX 637
1752
        B"01100101" when B"1001111110",  -- INDEX 638
1753
        B"01111011" when B"1001111111",  -- INDEX 639
1754
        B"01111111" when B"1010000000",  -- INDEX 640
1755
        B"01101111" when B"1010000001",  -- INDEX 641
1756
        B"01001110" when B"1010000010",  -- INDEX 642
1757
        B"00100001" when B"1010000011",  -- INDEX 643
1758
        B"11101111" when B"1010000100",  -- INDEX 644
1759
        B"11000000" when B"1010000101",  -- INDEX 645
1760
        B"10011010" when B"1010000110",  -- INDEX 646
1761
        B"10000100" when B"1010000111",  -- INDEX 647
1762
        B"10000001" when B"1010001000",  -- INDEX 648
1763
        B"10010010" when B"1010001001",  -- INDEX 649
1764
        B"10110011" when B"1010001010",  -- INDEX 650
1765
        B"11100001" when B"1010001011",  -- INDEX 651
1766
        B"00010011" when B"1010001100",  -- INDEX 652
1767
        B"01000010" when B"1010001101",  -- INDEX 653
1768
        B"01100111" when B"1010001110",  -- INDEX 654
1769
        B"01111100" when B"1010001111",  -- INDEX 655
1770
        B"01111110" when B"1010010000",  -- INDEX 656
1771
        B"01101101" when B"1010010001",  -- INDEX 657
1772
        B"01001011" when B"1010010010",  -- INDEX 658
1773
        B"00011101" when B"1010010011",  -- INDEX 659
1774
        B"11101011" when B"1010010100",  -- INDEX 660
1775
        B"10111100" when B"1010010101",  -- INDEX 661
1776
        B"10011000" when B"1010010110",  -- INDEX 662
1777
        B"10000011" when B"1010010111",  -- INDEX 663
1778
        B"10000010" when B"1010011000",  -- INDEX 664
1779
        B"10010100" when B"1010011001",  -- INDEX 665
1780
        B"10110110" when B"1010011010",  -- INDEX 666
1781
        B"11100100" when B"1010011011",  -- INDEX 667
1782
        B"00010110" when B"1010011100",  -- INDEX 668
1783
        B"01000101" when B"1010011101",  -- INDEX 669
1784
        B"01101001" when B"1010011110",  -- INDEX 670
1785
        B"01111101" when B"1010011111",  -- INDEX 671
1786
        B"01111110" when B"1010100000",  -- INDEX 672
1787
        B"01101100" when B"1010100001",  -- INDEX 673
1788
        B"01001001" when B"1010100010",  -- INDEX 674
1789
        B"00011011" when B"1010100011",  -- INDEX 675
1790
        B"11101001" when B"1010100100",  -- INDEX 676
1791
        B"10111010" when B"1010100101",  -- INDEX 677
1792
        B"10010110" when B"1010100110",  -- INDEX 678
1793
        B"10000011" when B"1010100111",  -- INDEX 679
1794
        B"10000010" when B"1010101000",  -- INDEX 680
1795
        B"10010101" when B"1010101001",  -- INDEX 681
1796
        B"10111000" when B"1010101010",  -- INDEX 682
1797
        B"11100110" when B"1010101011",  -- INDEX 683
1798
        B"00011000" when B"1010101100",  -- INDEX 684
1799
        B"01000110" when B"1010101101",  -- INDEX 685
1800
        B"01101010" when B"1010101110",  -- INDEX 686
1801
        B"01111101" when B"1010101111",  -- INDEX 687
1802
        B"01111110" when B"1010110000",  -- INDEX 688
1803
        B"01101011" when B"1010110001",  -- INDEX 689
1804
        B"01001000" when B"1010110010",  -- INDEX 690
1805
        B"00011001" when B"1010110011",  -- INDEX 691
1806
        B"11100111" when B"1010110100",  -- INDEX 692
1807
        B"10111001" when B"1010110101",  -- INDEX 693
1808
        B"10010110" when B"1010110110",  -- INDEX 694
1809
        B"10000011" when B"1010110111",  -- INDEX 695
1810
        B"10000010" when B"1010111000",  -- INDEX 696
1811
        B"10010101" when B"1010111001",  -- INDEX 697
1812
        B"10111001" when B"1010111010",  -- INDEX 698
1813
        B"11100111" when B"1010111011",  -- INDEX 699
1814
        B"00011001" when B"1010111100",  -- INDEX 700
1815
        B"01000111" when B"1010111101",  -- INDEX 701
1816
        B"01101010" when B"1010111110",  -- INDEX 702
1817
        B"01111101" when B"1010111111",  -- INDEX 703
1818
        B"01111110" when B"1011000000",  -- INDEX 704
1819
        B"01101011" when B"1011000001",  -- INDEX 705
1820
        B"01000111" when B"1011000010",  -- INDEX 706
1821
        B"00011001" when B"1011000011",  -- INDEX 707
1822
        B"11100111" when B"1011000100",  -- INDEX 708
1823
        B"10111001" when B"1011000101",  -- INDEX 709
1824
        B"10010110" when B"1011000110",  -- INDEX 710
1825
        B"10000011" when B"1011000111",  -- INDEX 711
1826
        B"10000010" when B"1011001000",  -- INDEX 712
1827
        B"10010101" when B"1011001001",  -- INDEX 713
1828
        B"10111000" when B"1011001010",  -- INDEX 714
1829
        B"11100110" when B"1011001011",  -- INDEX 715
1830
        B"00011000" when B"1011001100",  -- INDEX 716
1831
        B"01000110" when B"1011001101",  -- INDEX 717
1832
        B"01101010" when B"1011001110",  -- INDEX 718
1833
        B"01111101" when B"1011001111",  -- INDEX 719
1834
        B"01111110" when B"1011010000",  -- INDEX 720
1835
        B"01101011" when B"1011010001",  -- INDEX 721
1836
        B"01001000" when B"1011010010",  -- INDEX 722
1837
        B"00011010" when B"1011010011",  -- INDEX 723
1838
        B"11101001" when B"1011010100",  -- INDEX 724
1839
        B"10111010" when B"1011010101",  -- INDEX 725
1840
        B"10010111" when B"1011010110",  -- INDEX 726
1841
        B"10000011" when B"1011010111",  -- INDEX 727
1842
        B"10000010" when B"1011011000",  -- INDEX 728
1843
        B"10010100" when B"1011011001",  -- INDEX 729
1844
        B"10110111" when B"1011011010",  -- INDEX 730
1845
        B"11100100" when B"1011011011",  -- INDEX 731
1846
        B"00010110" when B"1011011100",  -- INDEX 732
1847
        B"01000101" when B"1011011101",  -- INDEX 733
1848
        B"01101001" when B"1011011110",  -- INDEX 734
1849
        B"01111101" when B"1011011111",  -- INDEX 735
1850
        B"01111110" when B"1011100000",  -- INDEX 736
1851
        B"01101100" when B"1011100001",  -- INDEX 737
1852
        B"01001010" when B"1011100010",  -- INDEX 738
1853
        B"00011101" when B"1011100011",  -- INDEX 739
1854
        B"11101011" when B"1011100100",  -- INDEX 740
1855
        B"10111101" when B"1011100101",  -- INDEX 741
1856
        B"10011000" when B"1011100110",  -- INDEX 742
1857
        B"10000011" when B"1011100111",  -- INDEX 743
1858
        B"10000010" when B"1011101000",  -- INDEX 744
1859
        B"10010011" when B"1011101001",  -- INDEX 745
1860
        B"10110100" when B"1011101010",  -- INDEX 746
1861
        B"11100001" when B"1011101011",  -- INDEX 747
1862
        B"00010011" when B"1011101100",  -- INDEX 748
1863
        B"01000010" when B"1011101101",  -- INDEX 749
1864
        B"01100111" when B"1011101110",  -- INDEX 750
1865
        B"01111100" when B"1011101111",  -- INDEX 751
1866
        B"01111111" when B"1011110000",  -- INDEX 752
1867
        B"01101110" when B"1011110001",  -- INDEX 753
1868
        B"01001101" when B"1011110010",  -- INDEX 754
1869
        B"00100000" when B"1011110011",  -- INDEX 755
1870
        B"11101111" when B"1011110100",  -- INDEX 756
1871
        B"11000000" when B"1011110101",  -- INDEX 757
1872
        B"10011011" when B"1011110110",  -- INDEX 758
1873
        B"10000100" when B"1011110111",  -- INDEX 759
1874
        B"10000001" when B"1011111000",  -- INDEX 760
1875
        B"10010001" when B"1011111001",  -- INDEX 761
1876
        B"10110001" when B"1011111010",  -- INDEX 762
1877
        B"11011101" when B"1011111011",  -- INDEX 763
1878
        B"00001111" when B"1011111100",  -- INDEX 764
1879
        B"00111110" when B"1011111101",  -- INDEX 765
1880
        B"01100100" when B"1011111110",  -- INDEX 766
1881
        B"01111011" when B"1011111111",  -- INDEX 767
1882
        B"01111111" when B"1100000000",  -- INDEX 768
1883
        B"01110001" when B"1100000001",  -- INDEX 769
1884
        B"01010001" when B"1100000010",  -- INDEX 770
1885
        B"00100101" when B"1100000011",  -- INDEX 771
1886
        B"11110100" when B"1100000100",  -- INDEX 772
1887
        B"11000100" when B"1100000101",  -- INDEX 773
1888
        B"10011110" when B"1100000110",  -- INDEX 774
1889
        B"10000110" when B"1100000111",  -- INDEX 775
1890
        B"10000000" when B"1100001000",  -- INDEX 776
1891
        B"10001110" when B"1100001001",  -- INDEX 777
1892
        B"10101101" when B"1100001010",  -- INDEX 778
1893
        B"11011000" when B"1100001011",  -- INDEX 779
1894
        B"00001001" when B"1100001100",  -- INDEX 780
1895
        B"00111001" when B"1100001101",  -- INDEX 781
1896
        B"01100000" when B"1100001110",  -- INDEX 782
1897
        B"01111001" when B"1100001111",  -- INDEX 783
1898
        B"01111111" when B"1100010000",  -- INDEX 784
1899
        B"01110011" when B"1100010001",  -- INDEX 785
1900
        B"01010110" when B"1100010010",  -- INDEX 786
1901
        B"00101011" when B"1100010011",  -- INDEX 787
1902
        B"11111010" when B"1100010100",  -- INDEX 788
1903
        B"11001010" when B"1100010101",  -- INDEX 789
1904
        B"10100010" when B"1100010110",  -- INDEX 790
1905
        B"10001000" when B"1100010111",  -- INDEX 791
1906
        B"10000000" when B"1100011000",  -- INDEX 792
1907
        B"10001011" when B"1100011001",  -- INDEX 793
1908
        B"10101000" when B"1100011010",  -- INDEX 794
1909
        B"11010001" when B"1100011011",  -- INDEX 795
1910
        B"00000010" when B"1100011100",  -- INDEX 796
1911
        B"00110010" when B"1100011101",  -- INDEX 797
1912
        B"01011011" when B"1100011110",  -- INDEX 798
1913
        B"01110110" when B"1100011111",  -- INDEX 799
1914
        B"01111111" when B"1100100000",  -- INDEX 800
1915
        B"01110110" when B"1100100001",  -- INDEX 801
1916
        B"01011011" when B"1100100010",  -- INDEX 802
1917
        B"00110010" when B"1100100011",  -- INDEX 803
1918
        B"00000010" when B"1100100100",  -- INDEX 804
1919
        B"11010001" when B"1100100101",  -- INDEX 805
1920
        B"10101000" when B"1100100110",  -- INDEX 806
1921
        B"10001011" when B"1100100111",  -- INDEX 807
1922
        B"10000000" when B"1100101000",  -- INDEX 808
1923
        B"10001000" when B"1100101001",  -- INDEX 809
1924
        B"10100010" when B"1100101010",  -- INDEX 810
1925
        B"11001010" when B"1100101011",  -- INDEX 811
1926
        B"11111010" when B"1100101100",  -- INDEX 812
1927
        B"00101011" when B"1100101101",  -- INDEX 813
1928
        B"01010110" when B"1100101110",  -- INDEX 814
1929
        B"01110011" when B"1100101111",  -- INDEX 815
1930
        B"01111111" when B"1100110000",  -- INDEX 816
1931
        B"01111001" when B"1100110001",  -- INDEX 817
1932
        B"01100000" when B"1100110010",  -- INDEX 818
1933
        B"00111001" when B"1100110011",  -- INDEX 819
1934
        B"00001001" when B"1100110100",  -- INDEX 820
1935
        B"11011000" when B"1100110101",  -- INDEX 821
1936
        B"10101101" when B"1100110110",  -- INDEX 822
1937
        B"10001110" when B"1100110111",  -- INDEX 823
1938
        B"10000000" when B"1100111000",  -- INDEX 824
1939
        B"10000110" when B"1100111001",  -- INDEX 825
1940
        B"10011110" when B"1100111010",  -- INDEX 826
1941
        B"11000100" when B"1100111011",  -- INDEX 827
1942
        B"11110100" when B"1100111100",  -- INDEX 828
1943
        B"00100101" when B"1100111101",  -- INDEX 829
1944
        B"01010001" when B"1100111110",  -- INDEX 830
1945
        B"01110001" when B"1100111111",  -- INDEX 831
1946
        B"01111111" when B"1101000000",  -- INDEX 832
1947
        B"01111011" when B"1101000001",  -- INDEX 833
1948
        B"01100100" when B"1101000010",  -- INDEX 834
1949
        B"00111110" when B"1101000011",  -- INDEX 835
1950
        B"00001111" when B"1101000100",  -- INDEX 836
1951
        B"11011101" when B"1101000101",  -- INDEX 837
1952
        B"10110001" when B"1101000110",  -- INDEX 838
1953
        B"10010001" when B"1101000111",  -- INDEX 839
1954
        B"10000001" when B"1101001000",  -- INDEX 840
1955
        B"10000100" when B"1101001001",  -- INDEX 841
1956
        B"10011010" when B"1101001010",  -- INDEX 842
1957
        B"11000000" when B"1101001011",  -- INDEX 843
1958
        B"11101111" when B"1101001100",  -- INDEX 844
1959
        B"00100000" when B"1101001101",  -- INDEX 845
1960
        B"01001101" when B"1101001110",  -- INDEX 846
1961
        B"01101110" when B"1101001111",  -- INDEX 847
1962
        B"01111111" when B"1101010000",  -- INDEX 848
1963
        B"01111100" when B"1101010001",  -- INDEX 849
1964
        B"01100111" when B"1101010010",  -- INDEX 850
1965
        B"01000010" when B"1101010011",  -- INDEX 851
1966
        B"00010011" when B"1101010100",  -- INDEX 852
1967
        B"11100010" when B"1101010101",  -- INDEX 853
1968
        B"10110101" when B"1101010110",  -- INDEX 854
1969
        B"10010011" when B"1101010111",  -- INDEX 855
1970
        B"10000010" when B"1101011000",  -- INDEX 856
1971
        B"10000011" when B"1101011001",  -- INDEX 857
1972
        B"10011000" when B"1101011010",  -- INDEX 858
1973
        B"10111100" when B"1101011011",  -- INDEX 859
1974
        B"11101011" when B"1101011100",  -- INDEX 860
1975
        B"00011101" when B"1101011101",  -- INDEX 861
1976
        B"01001010" when B"1101011110",  -- INDEX 862
1977
        B"01101100" when B"1101011111",  -- INDEX 863
1978
        B"01111110" when B"1101100000",  -- INDEX 864
1979
        B"01111101" when B"1101100001",  -- INDEX 865
1980
        B"01101001" when B"1101100010",  -- INDEX 866
1981
        B"01000101" when B"1101100011",  -- INDEX 867
1982
        B"00010111" when B"1101100100",  -- INDEX 868
1983
        B"11100101" when B"1101100101",  -- INDEX 869
1984
        B"10110111" when B"1101100110",  -- INDEX 870
1985
        B"10010101" when B"1101100111",  -- INDEX 871
1986
        B"10000010" when B"1101101000",  -- INDEX 872
1987
        B"10000011" when B"1101101001",  -- INDEX 873
1988
        B"10010110" when B"1101101010",  -- INDEX 874
1989
        B"10111010" when B"1101101011",  -- INDEX 875
1990
        B"11101000" when B"1101101100",  -- INDEX 876
1991
        B"00011010" when B"1101101101",  -- INDEX 877
1992
        B"01001000" when B"1101101110",  -- INDEX 878
1993
        B"01101011" when B"1101101111",  -- INDEX 879
1994
        B"01111110" when B"1101110000",  -- INDEX 880
1995
        B"01111101" when B"1101110001",  -- INDEX 881
1996
        B"01101010" when B"1101110010",  -- INDEX 882
1997
        B"01000111" when B"1101110011",  -- INDEX 883
1998
        B"00011001" when B"1101110100",  -- INDEX 884
1999
        B"11100111" when B"1101110101",  -- INDEX 885
2000
        B"10111001" when B"1101110110",  -- INDEX 886
2001
        B"10010101" when B"1101110111",  -- INDEX 887
2002
        B"10000010" when B"1101111000",  -- INDEX 888
2003
        B"10000010" when B"1101111001",  -- INDEX 889
2004
        B"10010110" when B"1101111010",  -- INDEX 890
2005
        B"10111001" when B"1101111011",  -- INDEX 891
2006
        B"11100111" when B"1101111100",  -- INDEX 892
2007
        B"00011001" when B"1101111101",  -- INDEX 893
2008
        B"01000111" when B"1101111110",  -- INDEX 894
2009
        B"01101010" when B"1101111111",  -- INDEX 895
2010
        B"01111110" when B"1110000000",  -- INDEX 896
2011
        B"01111110" when B"1110000001",  -- INDEX 897
2012
        B"01101011" when B"1110000010",  -- INDEX 898
2013
        B"01000111" when B"1110000011",  -- INDEX 899
2014
        B"00011001" when B"1110000100",  -- INDEX 900
2015
        B"11100111" when B"1110000101",  -- INDEX 901
2016
        B"10111001" when B"1110000110",  -- INDEX 902
2017
        B"10010110" when B"1110000111",  -- INDEX 903
2018
        B"10000011" when B"1110001000",  -- INDEX 904
2019
        B"10000010" when B"1110001001",  -- INDEX 905
2020
        B"10010101" when B"1110001010",  -- INDEX 906
2021
        B"10111001" when B"1110001011",  -- INDEX 907
2022
        B"11100111" when B"1110001100",  -- INDEX 908
2023
        B"00011001" when B"1110001101",  -- INDEX 909
2024
        B"01000111" when B"1110001110",  -- INDEX 910
2025
        B"01101010" when B"1110001111",  -- INDEX 911
2026
        B"01111110" when B"1110010000",  -- INDEX 912
2027
        B"01111110" when B"1110010001",  -- INDEX 913
2028
        B"01101010" when B"1110010010",  -- INDEX 914
2029
        B"01000111" when B"1110010011",  -- INDEX 915
2030
        B"00011001" when B"1110010100",  -- INDEX 916
2031
        B"11100111" when B"1110010101",  -- INDEX 917
2032
        B"10111000" when B"1110010110",  -- INDEX 918
2033
        B"10010101" when B"1110010111",  -- INDEX 919
2034
        B"10000010" when B"1110011000",  -- INDEX 920
2035
        B"10000011" when B"1110011001",  -- INDEX 921
2036
        B"10010110" when B"1110011010",  -- INDEX 922
2037
        B"10111010" when B"1110011011",  -- INDEX 923
2038
        B"11101000" when B"1110011100",  -- INDEX 924
2039
        B"00011010" when B"1110011101",  -- INDEX 925
2040
        B"01001000" when B"1110011110",  -- INDEX 926
2041
        B"01101011" when B"1110011111",  -- INDEX 927
2042
        B"01111110" when B"1110100000",  -- INDEX 928
2043
        B"01111101" when B"1110100001",  -- INDEX 929
2044
        B"01101001" when B"1110100010",  -- INDEX 930
2045
        B"01000101" when B"1110100011",  -- INDEX 931
2046
        B"00010111" when B"1110100100",  -- INDEX 932
2047
        B"11100101" when B"1110100101",  -- INDEX 933
2048
        B"10110111" when B"1110100110",  -- INDEX 934
2049
        B"10010100" when B"1110100111",  -- INDEX 935
2050
        B"10000010" when B"1110101000",  -- INDEX 936
2051
        B"10000011" when B"1110101001",  -- INDEX 937
2052
        B"10010111" when B"1110101010",  -- INDEX 938
2053
        B"10111100" when B"1110101011",  -- INDEX 939
2054
        B"11101011" when B"1110101100",  -- INDEX 940
2055
        B"00011101" when B"1110101101",  -- INDEX 941
2056
        B"01001010" when B"1110101110",  -- INDEX 942
2057
        B"01101101" when B"1110101111",  -- INDEX 943
2058
        B"01111110" when B"1110110000",  -- INDEX 944
2059
        B"01111101" when B"1110110001",  -- INDEX 945
2060
        B"01101000" when B"1110110010",  -- INDEX 946
2061
        B"01000011" when B"1110110011",  -- INDEX 947
2062
        B"00010100" when B"1110110100",  -- INDEX 948
2063
        B"11100010" when B"1110110101",  -- INDEX 949
2064
        B"10110100" when B"1110110110",  -- INDEX 950
2065
        B"10010010" when B"1110110111",  -- INDEX 951
2066
        B"10000001" when B"1110111000",  -- INDEX 952
2067
        B"10000100" when B"1110111001",  -- INDEX 953
2068
        B"10011010" when B"1110111010",  -- INDEX 954
2069
        B"10111111" when B"1110111011",  -- INDEX 955
2070
        B"11101110" when B"1110111100",  -- INDEX 956
2071
        B"00100001" when B"1110111101",  -- INDEX 957
2072
        B"01001110" when B"1110111110",  -- INDEX 958
2073
        B"01101111" when B"1110111111",  -- INDEX 959
2074
        B"01111111" when B"1111000000",  -- INDEX 960
2075
        B"01111011" when B"1111000001",  -- INDEX 961
2076
        B"01100101" when B"1111000010",  -- INDEX 962
2077
        B"00111111" when B"1111000011",  -- INDEX 963
2078
        B"00001111" when B"1111000100",  -- INDEX 964
2079
        B"11011101" when B"1111000101",  -- INDEX 965
2080
        B"10110000" when B"1111000110",  -- INDEX 966
2081
        B"10010000" when B"1111000111",  -- INDEX 967
2082
        B"10000001" when B"1111001000",  -- INDEX 968
2083
        B"10000101" when B"1111001001",  -- INDEX 969
2084
        B"10011101" when B"1111001010",  -- INDEX 970
2085
        B"11000011" when B"1111001011",  -- INDEX 971
2086
        B"11110011" when B"1111001100",  -- INDEX 972
2087
        B"00100110" when B"1111001101",  -- INDEX 973
2088
        B"01010010" when B"1111001110",  -- INDEX 974
2089
        B"01110001" when B"1111001111",  -- INDEX 975
2090
        B"01111111" when B"1111010000",  -- INDEX 976
2091
        B"01111010" when B"1111010001",  -- INDEX 977
2092
        B"01100001" when B"1111010010",  -- INDEX 978
2093
        B"00111010" when B"1111010011",  -- INDEX 979
2094
        B"00001001" when B"1111010100",  -- INDEX 980
2095
        B"11011000" when B"1111010101",  -- INDEX 981
2096
        B"10101100" when B"1111010110",  -- INDEX 982
2097
        B"10001101" when B"1111010111",  -- INDEX 983
2098
        B"10000000" when B"1111011000",  -- INDEX 984
2099
        B"10000111" when B"1111011001",  -- INDEX 985
2100
        B"10100001" when B"1111011010",  -- INDEX 986
2101
        B"11001001" when B"1111011011",  -- INDEX 987
2102
        B"11111010" when B"1111011100",  -- INDEX 988
2103
        B"00101100" when B"1111011101",  -- INDEX 989
2104
        B"01010111" when B"1111011110",  -- INDEX 990
2105
        B"01110100" when B"1111011111",  -- INDEX 991
2106
        B"01111111" when B"1111100000",  -- INDEX 992
2107
        B"01111000" when B"1111100001",  -- INDEX 993
2108
        B"01011101" when B"1111100010",  -- INDEX 994
2109
        B"00110100" when B"1111100011",  -- INDEX 995
2110
        B"00000010" when B"1111100100",  -- INDEX 996
2111
        B"11010001" when B"1111100101",  -- INDEX 997
2112
        B"10100111" when B"1111100110",  -- INDEX 998
2113
        B"10001010" when B"1111100111",  -- INDEX 999
2114
 
2115
-- END INPUT FM SIGNAL
2116
        B"00000000" when others;
2117
 
2118
end input_data;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.