OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [bench_xil/] [input_fm_xil.vhdl] - Blame information for rev 41

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 14 arif_endro
-- $Id: input_fm_xil.vhdl,v 1.3 2005-03-04 08:04:49 arif_endro Exp $
2 2 arif_endro
-------------------------------------------------------------------------------
3
-- Title       : Input signal FM For Xilinx
4
-- Project     : FM Receiver 
5
-------------------------------------------------------------------------------
6
-- File        : input_fm.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2004/12/22
9 13 arif_endro
-- Last update :
10
-- Simulators  :
11
-- Synthesizers:
12 2 arif_endro
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Input signal FM 1000 signal
15
-------------------------------------------------------------------------------
16 41 arif_endro
-- Copyright (C) 2004 Arif Endro Nugroho
17 2 arif_endro
-------------------------------------------------------------------------------
18 13 arif_endro
-- 
19
--      THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
20
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
21
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
22
-- ASSOCIATED DISCLAIMER.
23
-- 
24
-------------------------------------------------------------------------------
25
-- 
26
--      THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
27
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
28
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
29
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
30
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
31
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
32
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
33
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
34
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
35
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
36
-- 
37
-------------------------------------------------------------------------------
38 2 arif_endro
 
39
library IEEE;
40
use IEEE.std_logic_1164.all;
41
 
42
entity input_fm is
43
   port (
44
   clock              : in  std_logic;
45
   clear              : in  std_logic;
46
   test_signal_fm     : out bit_vector (07 downto 0);
47
   test_signal_fmTri  : out bit_vector (07 downto 0)
48
   );
49
end input_fm;
50
 
51
architecture input_data of input_fm is
52
component adder_10bit
53
        port (
54
        addend_10bit          : in  bit_vector (09 downto 0);
55
        augend_10bit          : in  bit_vector (09 downto 0);
56
        adder10_output        : out bit_vector (10 downto 0)
57
        );
58
end component;
59
 
60
signal test_signal_fm_int    : bit_vector (07 downto 0);
61
signal test_signal_fmTri_int : bit_vector (07 downto 0);
62
signal counter               : bit_vector (09 downto 0);
63
signal counter_tmp           : bit_vector (10 downto 0);
64
signal one_increment         : bit_vector (09 downto 0);
65
begin
66
 
67
 
68
    one_increment (00) <= '1';
69
    one_increment (01) <= '0';
70
    one_increment (02) <= '0';
71
    one_increment (03) <= '0';
72
    one_increment (04) <= '0';
73
    one_increment (05) <= '0';
74
    one_increment (06) <= '0';
75
    one_increment (07) <= '0';
76
    one_increment (08) <= '0';
77
    one_increment (09) <= '0';
78
 
79
counter_one : adder_10bit
80
    port map (
81
    addend_10bit   => counter,
82
    augend_10bit   => one_increment,
83
    adder10_output => counter_tmp
84
    );
85
 
86
process (clock, clear)
87
begin
88
    if (((clock = '1') and (not( clear = '1'))) and clock'event) then
89
                counter(09 downto 0) <= counter_tmp(09 downto 0);
90
                test_signal_fm    <= test_signal_fm_int;
91
                test_signal_fmTri <= test_signal_fmTri_int;
92
    elsif (clear = '1') then
93
                counter           <= (others => '0');
94
                test_signal_fm    <= (others => '0');
95
                test_signal_fmTri <= (others => '0');
96
    end if;
97
end process;
98
 
99
        with counter (09 downto 0) select
100
        test_signal_fm_int <=
101
-- START INPUT FM SIGNAL
102
 
103
        B"01111111" when B"0000000000",  -- INDEX 0
104
        B"01110110" when B"0000000001",  -- INDEX 1
105
        B"01011010" when B"0000000010",  -- INDEX 2
106
        B"00110000" when B"0000000011",  -- INDEX 3
107
        B"11111110" when B"0000000100",  -- INDEX 4
108
        B"11001101" when B"0000000101",  -- INDEX 5
109
        B"10100011" when B"0000000110",  -- INDEX 6
110
        B"10001000" when B"0000000111",  -- INDEX 7
111
        B"10000000" when B"0000001000",  -- INDEX 8
112
        B"10001100" when B"0000001001",  -- INDEX 9
113
        B"10101001" when B"0000001010",  -- INDEX 10
114
        B"11010100" when B"0000001011",  -- INDEX 11
115
        B"00000110" when B"0000001100",  -- INDEX 12
116
        B"00110111" when B"0000001101",  -- INDEX 13
117
        B"01011111" when B"0000001110",  -- INDEX 14
118
        B"01111001" when B"0000001111",  -- INDEX 15
119
        B"01111111" when B"0000010000",  -- INDEX 16
120
        B"01110011" when B"0000010001",  -- INDEX 17
121
        B"01010100" when B"0000010010",  -- INDEX 18
122
        B"00101000" when B"0000010011",  -- INDEX 19
123
        B"11110110" when B"0000010100",  -- INDEX 20
124
        B"11000101" when B"0000010101",  -- INDEX 21
125
        B"10011110" when B"0000010110",  -- INDEX 22
126
        B"10000110" when B"0000010111",  -- INDEX 23
127
        B"10000001" when B"0000011000",  -- INDEX 24
128
        B"10001111" when B"0000011001",  -- INDEX 25
129
        B"10101111" when B"0000011010",  -- INDEX 26
130
        B"11011100" when B"0000011011",  -- INDEX 27
131
        B"00001110" when B"0000011100",  -- INDEX 28
132
        B"00111110" when B"0000011101",  -- INDEX 29
133
        B"01100101" when B"0000011110",  -- INDEX 30
134
        B"01111011" when B"0000011111",  -- INDEX 31
135
        B"01111111" when B"0000100000",  -- INDEX 32
136
        B"01101111" when B"0000100001",  -- INDEX 33
137
        B"01001110" when B"0000100010",  -- INDEX 34
138
        B"00100000" when B"0000100011",  -- INDEX 35
139
        B"11101110" when B"0000100100",  -- INDEX 36
140
        B"10111110" when B"0000100101",  -- INDEX 37
141
        B"10011001" when B"0000100110",  -- INDEX 38
142
        B"10000100" when B"0000100111",  -- INDEX 39
143
        B"10000010" when B"0000101000",  -- INDEX 40
144
        B"10010011" when B"0000101001",  -- INDEX 41
145
        B"10110110" when B"0000101010",  -- INDEX 42
146
        B"11100100" when B"0000101011",  -- INDEX 43
147
        B"00010110" when B"0000101100",  -- INDEX 44
148
        B"01000101" when B"0000101101",  -- INDEX 45
149
        B"01101001" when B"0000101110",  -- INDEX 46
150
        B"01111101" when B"0000101111",  -- INDEX 47
151
        B"01111110" when B"0000110000",  -- INDEX 48
152
        B"01101011" when B"0000110001",  -- INDEX 49
153
        B"01000111" when B"0000110010",  -- INDEX 50
154
        B"00011000" when B"0000110011",  -- INDEX 51
155
        B"11100110" when B"0000110100",  -- INDEX 52
156
        B"10111000" when B"0000110101",  -- INDEX 53
157
        B"10010100" when B"0000110110",  -- INDEX 54
158
        B"10000010" when B"0000110111",  -- INDEX 55
159
        B"10000011" when B"0000111000",  -- INDEX 56
160
        B"10011000" when B"0000111001",  -- INDEX 57
161
        B"10111100" when B"0000111010",  -- INDEX 58
162
        B"11101011" when B"0000111011",  -- INDEX 59
163
        B"00011110" when B"0000111100",  -- INDEX 60
164
        B"01001100" when B"0000111101",  -- INDEX 61
165
        B"01101110" when B"0000111110",  -- INDEX 62
166
        B"01111111" when B"0000111111",  -- INDEX 63
167
        B"01111100" when B"0001000000",  -- INDEX 64
168
        B"01100110" when B"0001000001",  -- INDEX 65
169
        B"01000000" when B"0001000010",  -- INDEX 66
170
        B"00010001" when B"0001000011",  -- INDEX 67
171
        B"11011110" when B"0001000100",  -- INDEX 68
172
        B"10110001" when B"0001000101",  -- INDEX 69
173
        B"10010000" when B"0001000110",  -- INDEX 70
174
        B"10000001" when B"0001000111",  -- INDEX 71
175
        B"10000101" when B"0001001000",  -- INDEX 72
176
        B"10011100" when B"0001001001",  -- INDEX 73
177
        B"11000011" when B"0001001010",  -- INDEX 74
178
        B"11110011" when B"0001001011",  -- INDEX 75
179
        B"00100110" when B"0001001100",  -- INDEX 76
180
        B"01010010" when B"0001001101",  -- INDEX 77
181
        B"01110010" when B"0001001110",  -- INDEX 78
182
        B"01111111" when B"0001001111",  -- INDEX 79
183
        B"01111010" when B"0001010000",  -- INDEX 80
184
        B"01100001" when B"0001010001",  -- INDEX 81
185
        B"00111001" when B"0001010010",  -- INDEX 82
186
        B"00001001" when B"0001010011",  -- INDEX 83
187
        B"11010111" when B"0001010100",  -- INDEX 84
188
        B"10101011" when B"0001010101",  -- INDEX 85
189
        B"10001101" when B"0001010110",  -- INDEX 86
190
        B"10000000" when B"0001010111",  -- INDEX 87
191
        B"10001000" when B"0001011000",  -- INDEX 88
192
        B"10100010" when B"0001011001",  -- INDEX 89
193
        B"11001010" when B"0001011010",  -- INDEX 90
194
        B"11111011" when B"0001011011",  -- INDEX 91
195
        B"00101101" when B"0001011100",  -- INDEX 92
196
        B"01011000" when B"0001011101",  -- INDEX 93
197
        B"01110101" when B"0001011110",  -- INDEX 94
198
        B"01111111" when B"0001011111",  -- INDEX 95
199
        B"01110111" when B"0001100000",  -- INDEX 96
200
        B"01011100" when B"0001100001",  -- INDEX 97
201
        B"00110010" when B"0001100010",  -- INDEX 98
202
        B"00000001" when B"0001100011",  -- INDEX 99
203
        B"11001111" when B"0001100100",  -- INDEX 100
204
        B"10100101" when B"0001100101",  -- INDEX 101
205
        B"10001001" when B"0001100110",  -- INDEX 102
206
        B"10000000" when B"0001100111",  -- INDEX 103
207
        B"10001011" when B"0001101000",  -- INDEX 104
208
        B"10100111" when B"0001101001",  -- INDEX 105
209
        B"11010010" when B"0001101010",  -- INDEX 106
210
        B"00000100" when B"0001101011",  -- INDEX 107
211
        B"00110101" when B"0001101100",  -- INDEX 108
212
        B"01011110" when B"0001101101",  -- INDEX 109
213
        B"01111000" when B"0001101110",  -- INDEX 110
214
        B"01111111" when B"0001101111",  -- INDEX 111
215
        B"01110100" when B"0001110000",  -- INDEX 112
216
        B"01010110" when B"0001110001",  -- INDEX 113
217
        B"00101010" when B"0001110010",  -- INDEX 114
218
        B"11111000" when B"0001110011",  -- INDEX 115
219
        B"11001000" when B"0001110100",  -- INDEX 116
220
        B"10100000" when B"0001110101",  -- INDEX 117
221
        B"10000111" when B"0001110110",  -- INDEX 118
222
        B"10000000" when B"0001110111",  -- INDEX 119
223
        B"10001110" when B"0001111000",  -- INDEX 120
224
        B"10101101" when B"0001111001",  -- INDEX 121
225
        B"11011001" when B"0001111010",  -- INDEX 122
226
        B"00001100" when B"0001111011",  -- INDEX 123
227
        B"00111100" when B"0001111100",  -- INDEX 124
228
        B"01100011" when B"0001111101",  -- INDEX 125
229
        B"01111011" when B"0001111110",  -- INDEX 126
230
        B"01111111" when B"0001111111",  -- INDEX 127
231
        B"01110000" when B"0010000000",  -- INDEX 128
232
        B"01010000" when B"0010000001",  -- INDEX 129
233
        B"00100011" when B"0010000010",  -- INDEX 130
234
        B"11110000" when B"0010000011",  -- INDEX 131
235
        B"11000001" when B"0010000100",  -- INDEX 132
236
        B"10011011" when B"0010000101",  -- INDEX 133
237
        B"10000100" when B"0010000110",  -- INDEX 134
238
        B"10000001" when B"0010000111",  -- INDEX 135
239
        B"10010010" when B"0010001000",  -- INDEX 136
240
        B"10110100" when B"0010001001",  -- INDEX 137
241
        B"11100001" when B"0010001010",  -- INDEX 138
242
        B"00010100" when B"0010001011",  -- INDEX 139
243
        B"01000011" when B"0010001100",  -- INDEX 140
244
        B"01101000" when B"0010001101",  -- INDEX 141
245
        B"01111101" when B"0010001110",  -- INDEX 142
246
        B"01111110" when B"0010001111",  -- INDEX 143
247
        B"01101100" when B"0010010000",  -- INDEX 144
248
        B"01001001" when B"0010010001",  -- INDEX 145
249
        B"00011011" when B"0010010010",  -- INDEX 146
250
        B"11101001" when B"0010010011",  -- INDEX 147
251
        B"10111010" when B"0010010100",  -- INDEX 148
252
        B"10010110" when B"0010010101",  -- INDEX 149
253
        B"10000010" when B"0010010110",  -- INDEX 150
254
        B"10000011" when B"0010010111",  -- INDEX 151
255
        B"10010110" when B"0010011000",  -- INDEX 152
256
        B"10111010" when B"0010011001",  -- INDEX 153
257
        B"11101001" when B"0010011010",  -- INDEX 154
258
        B"00011011" when B"0010011011",  -- INDEX 155
259
        B"01001010" when B"0010011100",  -- INDEX 156
260
        B"01101100" when B"0010011101",  -- INDEX 157
261
        B"01111110" when B"0010011110",  -- INDEX 158
262
        B"01111101" when B"0010011111",  -- INDEX 159
263
        B"01101000" when B"0010100000",  -- INDEX 160
264
        B"01000010" when B"0010100001",  -- INDEX 161
265
        B"00010011" when B"0010100010",  -- INDEX 162
266
        B"11100001" when B"0010100011",  -- INDEX 163
267
        B"10110011" when B"0010100100",  -- INDEX 164
268
        B"10010010" when B"0010100101",  -- INDEX 165
269
        B"10000001" when B"0010100110",  -- INDEX 166
270
        B"10000100" when B"0010100111",  -- INDEX 167
271
        B"10011011" when B"0010101000",  -- INDEX 168
272
        B"11000001" when B"0010101001",  -- INDEX 169
273
        B"11110001" when B"0010101010",  -- INDEX 170
274
        B"00100011" when B"0010101011",  -- INDEX 171
275
        B"01010000" when B"0010101100",  -- INDEX 172
276
        B"01110000" when B"0010101101",  -- INDEX 173
277
        B"01111111" when B"0010101110",  -- INDEX 174
278
        B"01111010" when B"0010101111",  -- INDEX 175
279
        B"01100011" when B"0010110000",  -- INDEX 176
280
        B"00111011" when B"0010110001",  -- INDEX 177
281
        B"00001011" when B"0010110010",  -- INDEX 178
282
        B"11011001" when B"0010110011",  -- INDEX 179
283
        B"10101101" when B"0010110100",  -- INDEX 180
284
        B"10001110" when B"0010110101",  -- INDEX 181
285
        B"10000000" when B"0010110110",  -- INDEX 182
286
        B"10000111" when B"0010110111",  -- INDEX 183
287
        B"10100000" when B"0010111000",  -- INDEX 184
288
        B"11001000" when B"0010111001",  -- INDEX 185
289
        B"11111001" when B"0010111010",  -- INDEX 186
290
        B"00101011" when B"0010111011",  -- INDEX 187
291
        B"01010110" when B"0010111100",  -- INDEX 188
292
        B"01110100" when B"0010111101",  -- INDEX 189
293
        B"01111111" when B"0010111110",  -- INDEX 190
294
        B"01111000" when B"0010111111",  -- INDEX 191
295
        B"01011101" when B"0011000000",  -- INDEX 192
296
        B"00110100" when B"0011000001",  -- INDEX 193
297
        B"00000011" when B"0011000010",  -- INDEX 194
298
        B"11010001" when B"0011000011",  -- INDEX 195
299
        B"10100111" when B"0011000100",  -- INDEX 196
300
        B"10001010" when B"0011000101",  -- INDEX 197
301
        B"10000000" when B"0011000110",  -- INDEX 198
302
        B"10001010" when B"0011000111",  -- INDEX 199
303
        B"10100101" when B"0011001000",  -- INDEX 200
304
        B"11001111" when B"0011001001",  -- INDEX 201
305
        B"11111111" when B"0011001010",  -- INDEX 202
306
        B"00110000" when B"0011001011",  -- INDEX 203
307
        B"01011001" when B"0011001100",  -- INDEX 204
308
        B"01110101" when B"0011001101",  -- INDEX 205
309
        B"01111111" when B"0011001110",  -- INDEX 206
310
        B"01111000" when B"0011001111",  -- INDEX 207
311
        B"01011101" when B"0011010000",  -- INDEX 208
312
        B"00110101" when B"0011010001",  -- INDEX 209
313
        B"00000101" when B"0011010010",  -- INDEX 210
314
        B"11010100" when B"0011010011",  -- INDEX 211
315
        B"10101010" when B"0011010100",  -- INDEX 212
316
        B"10001100" when B"0011010101",  -- INDEX 213
317
        B"10000000" when B"0011010110",  -- INDEX 214
318
        B"10000111" when B"0011010111",  -- INDEX 215
319
        B"10100000" when B"0011011000",  -- INDEX 216
320
        B"11000111" when B"0011011001",  -- INDEX 217
321
        B"11110111" when B"0011011010",  -- INDEX 218
322
        B"00101000" when B"0011011011",  -- INDEX 219
323
        B"01010011" when B"0011011100",  -- INDEX 220
324
        B"01110010" when B"0011011101",  -- INDEX 221
325
        B"01111111" when B"0011011110",  -- INDEX 222
326
        B"01111010" when B"0011011111",  -- INDEX 223
327
        B"01100011" when B"0011100000",  -- INDEX 224
328
        B"00111100" when B"0011100001",  -- INDEX 225
329
        B"00001101" when B"0011100010",  -- INDEX 226
330
        B"11011100" when B"0011100011",  -- INDEX 227
331
        B"10110000" when B"0011100100",  -- INDEX 228
332
        B"10010000" when B"0011100101",  -- INDEX 229
333
        B"10000001" when B"0011100110",  -- INDEX 230
334
        B"10000101" when B"0011100111",  -- INDEX 231
335
        B"10011011" when B"0011101000",  -- INDEX 232
336
        B"11000000" when B"0011101001",  -- INDEX 233
337
        B"11101111" when B"0011101010",  -- INDEX 234
338
        B"00100000" when B"0011101011",  -- INDEX 235
339
        B"01001101" when B"0011101100",  -- INDEX 236
340
        B"01101110" when B"0011101101",  -- INDEX 237
341
        B"01111111" when B"0011101110",  -- INDEX 238
342
        B"01111100" when B"0011101111",  -- INDEX 239
343
        B"01101000" when B"0011110000",  -- INDEX 240
344
        B"01000011" when B"0011110001",  -- INDEX 241
345
        B"00010101" when B"0011110010",  -- INDEX 242
346
        B"11100100" when B"0011110011",  -- INDEX 243
347
        B"10110110" when B"0011110100",  -- INDEX 244
348
        B"10010100" when B"0011110101",  -- INDEX 245
349
        B"10000010" when B"0011110110",  -- INDEX 246
350
        B"10000011" when B"0011110111",  -- INDEX 247
351
        B"10010110" when B"0011111000",  -- INDEX 248
352
        B"10111001" when B"0011111001",  -- INDEX 249
353
        B"11100111" when B"0011111010",  -- INDEX 250
354
        B"00011000" when B"0011111011",  -- INDEX 251
355
        B"01000110" when B"0011111100",  -- INDEX 252
356
        B"01101010" when B"0011111101",  -- INDEX 253
357
        B"01111101" when B"0011111110",  -- INDEX 254
358
        B"01111110" when B"0011111111",  -- INDEX 255
359
        B"01101100" when B"0100000000",  -- INDEX 256
360
        B"01001010" when B"0100000001",  -- INDEX 257
361
        B"00011101" when B"0100000010",  -- INDEX 258
362
        B"11101011" when B"0100000011",  -- INDEX 259
363
        B"10111101" when B"0100000100",  -- INDEX 260
364
        B"10011001" when B"0100000101",  -- INDEX 261
365
        B"10000100" when B"0100000110",  -- INDEX 262
366
        B"10000001" when B"0100000111",  -- INDEX 263
367
        B"10010010" when B"0100001000",  -- INDEX 264
368
        B"10110011" when B"0100001001",  -- INDEX 265
369
        B"11011111" when B"0100001010",  -- INDEX 266
370
        B"00010001" when B"0100001011",  -- INDEX 267
371
        B"00111111" when B"0100001100",  -- INDEX 268
372
        B"01100101" when B"0100001101",  -- INDEX 269
373
        B"01111011" when B"0100001110",  -- INDEX 270
374
        B"01111111" when B"0100001111",  -- INDEX 271
375
        B"01110000" when B"0100010000",  -- INDEX 272
376
        B"01010000" when B"0100010001",  -- INDEX 273
377
        B"00100101" when B"0100010010",  -- INDEX 274
378
        B"11110011" when B"0100010011",  -- INDEX 275
379
        B"11000100" when B"0100010100",  -- INDEX 276
380
        B"10011110" when B"0100010101",  -- INDEX 277
381
        B"10000110" when B"0100010110",  -- INDEX 278
382
        B"10000000" when B"0100010111",  -- INDEX 279
383
        B"10001110" when B"0100011000",  -- INDEX 280
384
        B"10101100" when B"0100011001",  -- INDEX 281
385
        B"11010111" when B"0100011010",  -- INDEX 282
386
        B"00001001" when B"0100011011",  -- INDEX 283
387
        B"00111000" when B"0100011100",  -- INDEX 284
388
        B"01100000" when B"0100011101",  -- INDEX 285
389
        B"01111001" when B"0100011110",  -- INDEX 286
390
        B"01111111" when B"0100011111",  -- INDEX 287
391
        B"01110100" when B"0100100000",  -- INDEX 288
392
        B"01010111" when B"0100100001",  -- INDEX 289
393
        B"00101100" when B"0100100010",  -- INDEX 290
394
        B"11111011" when B"0100100011",  -- INDEX 291
395
        B"11001011" when B"0100100100",  -- INDEX 292
396
        B"10100011" when B"0100100101",  -- INDEX 293
397
        B"10001001" when B"0100100110",  -- INDEX 294
398
        B"10000000" when B"0100100111",  -- INDEX 295
399
        B"10001011" when B"0100101000",  -- INDEX 296
400
        B"10100111" when B"0100101001",  -- INDEX 297
401
        B"11010000" when B"0100101010",  -- INDEX 298
402
        B"00000001" when B"0100101011",  -- INDEX 299
403
        B"00110001" when B"0100101100",  -- INDEX 300
404
        B"01011010" when B"0100101101",  -- INDEX 301
405
        B"01110110" when B"0100101110",  -- INDEX 302
406
        B"01111111" when B"0100101111",  -- INDEX 303
407
        B"01110111" when B"0100110000",  -- INDEX 304
408
        B"01011100" when B"0100110001",  -- INDEX 305
409
        B"00110100" when B"0100110010",  -- INDEX 306
410
        B"00000100" when B"0100110011",  -- INDEX 307
411
        B"11010011" when B"0100110100",  -- INDEX 308
412
        B"10101001" when B"0100110101",  -- INDEX 309
413
        B"10001100" when B"0100110110",  -- INDEX 310
414
        B"10000000" when B"0100110111",  -- INDEX 311
415
        B"10001000" when B"0100111000",  -- INDEX 312
416
        B"10100001" when B"0100111001",  -- INDEX 313
417
        B"11001001" when B"0100111010",  -- INDEX 314
418
        B"11111000" when B"0100111011",  -- INDEX 315
419
        B"00101001" when B"0100111100",  -- INDEX 316
420
        B"01010100" when B"0100111101",  -- INDEX 317
421
        B"01110011" when B"0100111110",  -- INDEX 318
422
        B"01111111" when B"0100111111",  -- INDEX 319
423
        B"01111010" when B"0101000000",  -- INDEX 320
424
        B"01100010" when B"0101000001",  -- INDEX 321
425
        B"00111011" when B"0101000010",  -- INDEX 322
426
        B"00001100" when B"0101000011",  -- INDEX 323
427
        B"11011010" when B"0101000100",  -- INDEX 324
428
        B"10101111" when B"0101000101",  -- INDEX 325
429
        B"10001111" when B"0101000110",  -- INDEX 326
430
        B"10000001" when B"0101000111",  -- INDEX 327
431
        B"10000101" when B"0101001000",  -- INDEX 328
432
        B"10011100" when B"0101001001",  -- INDEX 329
433
        B"11000001" when B"0101001010",  -- INDEX 330
434
        B"11110000" when B"0101001011",  -- INDEX 331
435
        B"00100010" when B"0101001100",  -- INDEX 332
436
        B"01001110" when B"0101001101",  -- INDEX 333
437
        B"01101111" when B"0101001110",  -- INDEX 334
438
        B"01111111" when B"0101001111",  -- INDEX 335
439
        B"01111100" when B"0101010000",  -- INDEX 336
440
        B"01100111" when B"0101010001",  -- INDEX 337
441
        B"01000010" when B"0101010010",  -- INDEX 338
442
        B"00010100" when B"0101010011",  -- INDEX 339
443
        B"11100010" when B"0101010100",  -- INDEX 340
444
        B"10110101" when B"0101010101",  -- INDEX 341
445
        B"10010011" when B"0101010110",  -- INDEX 342
446
        B"10000010" when B"0101010111",  -- INDEX 343
447
        B"10000011" when B"0101011000",  -- INDEX 344
448
        B"10010111" when B"0101011001",  -- INDEX 345
449
        B"10111011" when B"0101011010",  -- INDEX 346
450
        B"11101001" when B"0101011011",  -- INDEX 347
451
        B"00011010" when B"0101011100",  -- INDEX 348
452
        B"01001000" when B"0101011101",  -- INDEX 349
453
        B"01101010" when B"0101011110",  -- INDEX 350
454
        B"01111101" when B"0101011111",  -- INDEX 351
455
        B"01111110" when B"0101100000",  -- INDEX 352
456
        B"01101011" when B"0101100001",  -- INDEX 353
457
        B"01001001" when B"0101100010",  -- INDEX 354
458
        B"00011011" when B"0101100011",  -- INDEX 355
459
        B"11101010" when B"0101100100",  -- INDEX 356
460
        B"10111100" when B"0101100101",  -- INDEX 357
461
        B"10011000" when B"0101100110",  -- INDEX 358
462
        B"10000011" when B"0101100111",  -- INDEX 359
463
        B"10000010" when B"0101101000",  -- INDEX 360
464
        B"10010011" when B"0101101001",  -- INDEX 361
465
        B"10110100" when B"0101101010",  -- INDEX 362
466
        B"11100001" when B"0101101011",  -- INDEX 363
467
        B"00010010" when B"0101101100",  -- INDEX 364
468
        B"01000001" when B"0101101101",  -- INDEX 365
469
        B"01100110" when B"0101101110",  -- INDEX 366
470
        B"01111100" when B"0101101111",  -- INDEX 367
471
        B"01111111" when B"0101110000",  -- INDEX 368
472
        B"01101111" when B"0101110001",  -- INDEX 369
473
        B"01001111" when B"0101110010",  -- INDEX 370
474
        B"00100011" when B"0101110011",  -- INDEX 371
475
        B"11110010" when B"0101110100",  -- INDEX 372
476
        B"11000011" when B"0101110101",  -- INDEX 373
477
        B"10011101" when B"0101110110",  -- INDEX 374
478
        B"10000110" when B"0101110111",  -- INDEX 375
479
        B"10000001" when B"0101111000",  -- INDEX 376
480
        B"10001111" when B"0101111001",  -- INDEX 377
481
        B"10101110" when B"0101111010",  -- INDEX 378
482
        B"11011001" when B"0101111011",  -- INDEX 379
483
        B"00001010" when B"0101111100",  -- INDEX 380
484
        B"00111010" when B"0101111101",  -- INDEX 381
485
        B"01100001" when B"0101111110",  -- INDEX 382
486
        B"01111001" when B"0101111111",  -- INDEX 383
487
        B"01111111" when B"0110000000",  -- INDEX 384
488
        B"01110011" when B"0110000001",  -- INDEX 385
489
        B"01010101" when B"0110000010",  -- INDEX 386
490
        B"00101011" when B"0110000011",  -- INDEX 387
491
        B"11111010" when B"0110000100",  -- INDEX 388
492
        B"11001010" when B"0110000101",  -- INDEX 389
493
        B"10100010" when B"0110000110",  -- INDEX 390
494
        B"10001000" when B"0110000111",  -- INDEX 391
495
        B"10000000" when B"0110001000",  -- INDEX 392
496
        B"10001011" when B"0110001001",  -- INDEX 393
497
        B"10101000" when B"0110001010",  -- INDEX 394
498
        B"11010001" when B"0110001011",  -- INDEX 395
499
        B"00000010" when B"0110001100",  -- INDEX 396
500
        B"00110010" when B"0110001101",  -- INDEX 397
501
        B"01011011" when B"0110001110",  -- INDEX 398
502
        B"01110110" when B"0110001111",  -- INDEX 399
503
        B"01111111" when B"0110010000",  -- INDEX 400
504
        B"01110110" when B"0110010001",  -- INDEX 401
505
        B"01011010" when B"0110010010",  -- INDEX 402
506
        B"00110000" when B"0110010011",  -- INDEX 403
507
        B"11111110" when B"0110010100",  -- INDEX 404
508
        B"11001101" when B"0110010101",  -- INDEX 405
509
        B"10100011" when B"0110010110",  -- INDEX 406
510
        B"10001000" when B"0110010111",  -- INDEX 407
511
        B"10000000" when B"0110011000",  -- INDEX 408
512
        B"10001100" when B"0110011001",  -- INDEX 409
513
        B"10101001" when B"0110011010",  -- INDEX 410
514
        B"11010100" when B"0110011011",  -- INDEX 411
515
        B"00000110" when B"0110011100",  -- INDEX 412
516
        B"00110111" when B"0110011101",  -- INDEX 413
517
        B"01011111" when B"0110011110",  -- INDEX 414
518
        B"01111001" when B"0110011111",  -- INDEX 415
519
        B"01111111" when B"0110100000",  -- INDEX 416
520
        B"01110011" when B"0110100001",  -- INDEX 417
521
        B"01010100" when B"0110100010",  -- INDEX 418
522
        B"00101000" when B"0110100011",  -- INDEX 419
523
        B"11110110" when B"0110100100",  -- INDEX 420
524
        B"11000101" when B"0110100101",  -- INDEX 421
525
        B"10011110" when B"0110100110",  -- INDEX 422
526
        B"10000110" when B"0110100111",  -- INDEX 423
527
        B"10000001" when B"0110101000",  -- INDEX 424
528
        B"10001111" when B"0110101001",  -- INDEX 425
529
        B"10101111" when B"0110101010",  -- INDEX 426
530
        B"11011100" when B"0110101011",  -- INDEX 427
531
        B"00001110" when B"0110101100",  -- INDEX 428
532
        B"00111110" when B"0110101101",  -- INDEX 429
533
        B"01100101" when B"0110101110",  -- INDEX 430
534
        B"01111011" when B"0110101111",  -- INDEX 431
535
        B"01111111" when B"0110110000",  -- INDEX 432
536
        B"01101111" when B"0110110001",  -- INDEX 433
537
        B"01001110" when B"0110110010",  -- INDEX 434
538
        B"00100000" when B"0110110011",  -- INDEX 435
539
        B"11101110" when B"0110110100",  -- INDEX 436
540
        B"10111110" when B"0110110101",  -- INDEX 437
541
        B"10011001" when B"0110110110",  -- INDEX 438
542
        B"10000100" when B"0110110111",  -- INDEX 439
543
        B"10000010" when B"0110111000",  -- INDEX 440
544
        B"10010011" when B"0110111001",  -- INDEX 441
545
        B"10110110" when B"0110111010",  -- INDEX 442
546
        B"11100100" when B"0110111011",  -- INDEX 443
547
        B"00010110" when B"0110111100",  -- INDEX 444
548
        B"01000101" when B"0110111101",  -- INDEX 445
549
        B"01101001" when B"0110111110",  -- INDEX 446
550
        B"01111101" when B"0110111111",  -- INDEX 447
551
        B"01111110" when B"0111000000",  -- INDEX 448
552
        B"01101011" when B"0111000001",  -- INDEX 449
553
        B"01000111" when B"0111000010",  -- INDEX 450
554
        B"00011000" when B"0111000011",  -- INDEX 451
555
        B"11100110" when B"0111000100",  -- INDEX 452
556
        B"10111000" when B"0111000101",  -- INDEX 453
557
        B"10010100" when B"0111000110",  -- INDEX 454
558
        B"10000010" when B"0111000111",  -- INDEX 455
559
        B"10000011" when B"0111001000",  -- INDEX 456
560
        B"10011000" when B"0111001001",  -- INDEX 457
561
        B"10111100" when B"0111001010",  -- INDEX 458
562
        B"11101011" when B"0111001011",  -- INDEX 459
563
        B"00011110" when B"0111001100",  -- INDEX 460
564
        B"01001100" when B"0111001101",  -- INDEX 461
565
        B"01101110" when B"0111001110",  -- INDEX 462
566
        B"01111111" when B"0111001111",  -- INDEX 463
567
        B"01111100" when B"0111010000",  -- INDEX 464
568
        B"01100110" when B"0111010001",  -- INDEX 465
569
        B"01000000" when B"0111010010",  -- INDEX 466
570
        B"00010001" when B"0111010011",  -- INDEX 467
571
        B"11011110" when B"0111010100",  -- INDEX 468
572
        B"10110001" when B"0111010101",  -- INDEX 469
573
        B"10010000" when B"0111010110",  -- INDEX 470
574
        B"10000001" when B"0111010111",  -- INDEX 471
575
        B"10000101" when B"0111011000",  -- INDEX 472
576
        B"10011100" when B"0111011001",  -- INDEX 473
577
        B"11000011" when B"0111011010",  -- INDEX 474
578
        B"11110011" when B"0111011011",  -- INDEX 475
579
        B"00100110" when B"0111011100",  -- INDEX 476
580
        B"01010010" when B"0111011101",  -- INDEX 477
581
        B"01110010" when B"0111011110",  -- INDEX 478
582
        B"01111111" when B"0111011111",  -- INDEX 479
583
        B"01111010" when B"0111100000",  -- INDEX 480
584
        B"01100001" when B"0111100001",  -- INDEX 481
585
        B"00111001" when B"0111100010",  -- INDEX 482
586
        B"00001001" when B"0111100011",  -- INDEX 483
587
        B"11010111" when B"0111100100",  -- INDEX 484
588
        B"10101011" when B"0111100101",  -- INDEX 485
589
        B"10001101" when B"0111100110",  -- INDEX 486
590
        B"10000000" when B"0111100111",  -- INDEX 487
591
        B"10001000" when B"0111101000",  -- INDEX 488
592
        B"10100010" when B"0111101001",  -- INDEX 489
593
        B"11001010" when B"0111101010",  -- INDEX 490
594
        B"11111011" when B"0111101011",  -- INDEX 491
595
        B"00101101" when B"0111101100",  -- INDEX 492
596
        B"01011000" when B"0111101101",  -- INDEX 493
597
        B"01110101" when B"0111101110",  -- INDEX 494
598
        B"01111111" when B"0111101111",  -- INDEX 495
599
        B"01110111" when B"0111110000",  -- INDEX 496
600
        B"01011100" when B"0111110001",  -- INDEX 497
601
        B"00110010" when B"0111110010",  -- INDEX 498
602
        B"00000001" when B"0111110011",  -- INDEX 499
603
        B"11001111" when B"0111110100",  -- INDEX 500
604
        B"10100101" when B"0111110101",  -- INDEX 501
605
        B"10001001" when B"0111110110",  -- INDEX 502
606
        B"10000000" when B"0111110111",  -- INDEX 503
607
        B"10001011" when B"0111111000",  -- INDEX 504
608
        B"10100111" when B"0111111001",  -- INDEX 505
609
        B"11010010" when B"0111111010",  -- INDEX 506
610
        B"00000100" when B"0111111011",  -- INDEX 507
611
        B"00110101" when B"0111111100",  -- INDEX 508
612
        B"01011110" when B"0111111101",  -- INDEX 509
613
        B"01111000" when B"0111111110",  -- INDEX 510
614
        B"01111111" when B"0111111111",  -- INDEX 511
615
        B"01110100" when B"1000000000",  -- INDEX 512
616
        B"01010110" when B"1000000001",  -- INDEX 513
617
        B"00101010" when B"1000000010",  -- INDEX 514
618
        B"11111000" when B"1000000011",  -- INDEX 515
619
        B"11001000" when B"1000000100",  -- INDEX 516
620
        B"10100000" when B"1000000101",  -- INDEX 517
621
        B"10000111" when B"1000000110",  -- INDEX 518
622
        B"10000000" when B"1000000111",  -- INDEX 519
623
        B"10001110" when B"1000001000",  -- INDEX 520
624
        B"10101101" when B"1000001001",  -- INDEX 521
625
        B"11011001" when B"1000001010",  -- INDEX 522
626
        B"00001100" when B"1000001011",  -- INDEX 523
627
        B"00111100" when B"1000001100",  -- INDEX 524
628
        B"01100011" when B"1000001101",  -- INDEX 525
629
        B"01111011" when B"1000001110",  -- INDEX 526
630
        B"01111111" when B"1000001111",  -- INDEX 527
631
        B"01110000" when B"1000010000",  -- INDEX 528
632
        B"01010000" when B"1000010001",  -- INDEX 529
633
        B"00100011" when B"1000010010",  -- INDEX 530
634
        B"11110000" when B"1000010011",  -- INDEX 531
635
        B"11000001" when B"1000010100",  -- INDEX 532
636
        B"10011011" when B"1000010101",  -- INDEX 533
637
        B"10000100" when B"1000010110",  -- INDEX 534
638
        B"10000001" when B"1000010111",  -- INDEX 535
639
        B"10010010" when B"1000011000",  -- INDEX 536
640
        B"10110100" when B"1000011001",  -- INDEX 537
641
        B"11100001" when B"1000011010",  -- INDEX 538
642
        B"00010100" when B"1000011011",  -- INDEX 539
643
        B"01000011" when B"1000011100",  -- INDEX 540
644
        B"01101000" when B"1000011101",  -- INDEX 541
645
        B"01111101" when B"1000011110",  -- INDEX 542
646
        B"01111110" when B"1000011111",  -- INDEX 543
647
        B"01101100" when B"1000100000",  -- INDEX 544
648
        B"01001001" when B"1000100001",  -- INDEX 545
649
        B"00011011" when B"1000100010",  -- INDEX 546
650
        B"11101001" when B"1000100011",  -- INDEX 547
651
        B"10111010" when B"1000100100",  -- INDEX 548
652
        B"10010110" when B"1000100101",  -- INDEX 549
653
        B"10000010" when B"1000100110",  -- INDEX 550
654
        B"10000011" when B"1000100111",  -- INDEX 551
655
        B"10010110" when B"1000101000",  -- INDEX 552
656
        B"10111010" when B"1000101001",  -- INDEX 553
657
        B"11101001" when B"1000101010",  -- INDEX 554
658
        B"00011011" when B"1000101011",  -- INDEX 555
659
        B"01001010" when B"1000101100",  -- INDEX 556
660
        B"01101100" when B"1000101101",  -- INDEX 557
661
        B"01111110" when B"1000101110",  -- INDEX 558
662
        B"01111101" when B"1000101111",  -- INDEX 559
663
        B"01101000" when B"1000110000",  -- INDEX 560
664
        B"01000010" when B"1000110001",  -- INDEX 561
665
        B"00010011" when B"1000110010",  -- INDEX 562
666
        B"11100001" when B"1000110011",  -- INDEX 563
667
        B"10110011" when B"1000110100",  -- INDEX 564
668
        B"10010010" when B"1000110101",  -- INDEX 565
669
        B"10000001" when B"1000110110",  -- INDEX 566
670
        B"10000100" when B"1000110111",  -- INDEX 567
671
        B"10011011" when B"1000111000",  -- INDEX 568
672
        B"11000001" when B"1000111001",  -- INDEX 569
673
        B"11110001" when B"1000111010",  -- INDEX 570
674
        B"00100011" when B"1000111011",  -- INDEX 571
675
        B"01010000" when B"1000111100",  -- INDEX 572
676
        B"01110000" when B"1000111101",  -- INDEX 573
677
        B"01111111" when B"1000111110",  -- INDEX 574
678
        B"01111010" when B"1000111111",  -- INDEX 575
679
        B"01100011" when B"1001000000",  -- INDEX 576
680
        B"00111011" when B"1001000001",  -- INDEX 577
681
        B"00001011" when B"1001000010",  -- INDEX 578
682
        B"11011001" when B"1001000011",  -- INDEX 579
683
        B"10101101" when B"1001000100",  -- INDEX 580
684
        B"10001110" when B"1001000101",  -- INDEX 581
685
        B"10000000" when B"1001000110",  -- INDEX 582
686
        B"10000111" when B"1001000111",  -- INDEX 583
687
        B"10100000" when B"1001001000",  -- INDEX 584
688
        B"11001000" when B"1001001001",  -- INDEX 585
689
        B"11111001" when B"1001001010",  -- INDEX 586
690
        B"00101011" when B"1001001011",  -- INDEX 587
691
        B"01010110" when B"1001001100",  -- INDEX 588
692
        B"01110100" when B"1001001101",  -- INDEX 589
693
        B"01111111" when B"1001001110",  -- INDEX 590
694
        B"01111000" when B"1001001111",  -- INDEX 591
695
        B"01011101" when B"1001010000",  -- INDEX 592
696
        B"00110100" when B"1001010001",  -- INDEX 593
697
        B"00000011" when B"1001010010",  -- INDEX 594
698
        B"11010001" when B"1001010011",  -- INDEX 595
699
        B"10100111" when B"1001010100",  -- INDEX 596
700
        B"10001010" when B"1001010101",  -- INDEX 597
701
        B"10000000" when B"1001010110",  -- INDEX 598
702
        B"10001010" when B"1001010111",  -- INDEX 599
703
        B"10100101" when B"1001011000",  -- INDEX 600
704
        B"11001111" when B"1001011001",  -- INDEX 601
705
        B"11111111" when B"1001011010",  -- INDEX 602
706
        B"00110000" when B"1001011011",  -- INDEX 603
707
        B"01011001" when B"1001011100",  -- INDEX 604
708
        B"01110101" when B"1001011101",  -- INDEX 605
709
        B"01111111" when B"1001011110",  -- INDEX 606
710
        B"01111000" when B"1001011111",  -- INDEX 607
711
        B"01011101" when B"1001100000",  -- INDEX 608
712
        B"00110101" when B"1001100001",  -- INDEX 609
713
        B"00000101" when B"1001100010",  -- INDEX 610
714
        B"11010100" when B"1001100011",  -- INDEX 611
715
        B"10101010" when B"1001100100",  -- INDEX 612
716
        B"10001100" when B"1001100101",  -- INDEX 613
717
        B"10000000" when B"1001100110",  -- INDEX 614
718
        B"10000111" when B"1001100111",  -- INDEX 615
719
        B"10100000" when B"1001101000",  -- INDEX 616
720
        B"11000111" when B"1001101001",  -- INDEX 617
721
        B"11110111" when B"1001101010",  -- INDEX 618
722
        B"00101000" when B"1001101011",  -- INDEX 619
723
        B"01010011" when B"1001101100",  -- INDEX 620
724
        B"01110010" when B"1001101101",  -- INDEX 621
725
        B"01111111" when B"1001101110",  -- INDEX 622
726
        B"01111010" when B"1001101111",  -- INDEX 623
727
        B"01100011" when B"1001110000",  -- INDEX 624
728
        B"00111100" when B"1001110001",  -- INDEX 625
729
        B"00001101" when B"1001110010",  -- INDEX 626
730
        B"11011100" when B"1001110011",  -- INDEX 627
731
        B"10110000" when B"1001110100",  -- INDEX 628
732
        B"10010000" when B"1001110101",  -- INDEX 629
733
        B"10000001" when B"1001110110",  -- INDEX 630
734
        B"10000101" when B"1001110111",  -- INDEX 631
735
        B"10011011" when B"1001111000",  -- INDEX 632
736
        B"11000000" when B"1001111001",  -- INDEX 633
737
        B"11101111" when B"1001111010",  -- INDEX 634
738
        B"00100000" when B"1001111011",  -- INDEX 635
739
        B"01001101" when B"1001111100",  -- INDEX 636
740
        B"01101110" when B"1001111101",  -- INDEX 637
741
        B"01111111" when B"1001111110",  -- INDEX 638
742
        B"01111100" when B"1001111111",  -- INDEX 639
743
        B"01101000" when B"1010000000",  -- INDEX 640
744
        B"01000011" when B"1010000001",  -- INDEX 641
745
        B"00010101" when B"1010000010",  -- INDEX 642
746
        B"11100100" when B"1010000011",  -- INDEX 643
747
        B"10110110" when B"1010000100",  -- INDEX 644
748
        B"10010100" when B"1010000101",  -- INDEX 645
749
        B"10000010" when B"1010000110",  -- INDEX 646
750
        B"10000011" when B"1010000111",  -- INDEX 647
751
        B"10010110" when B"1010001000",  -- INDEX 648
752
        B"10111001" when B"1010001001",  -- INDEX 649
753
        B"11100111" when B"1010001010",  -- INDEX 650
754
        B"00011000" when B"1010001011",  -- INDEX 651
755
        B"01000110" when B"1010001100",  -- INDEX 652
756
        B"01101010" when B"1010001101",  -- INDEX 653
757
        B"01111101" when B"1010001110",  -- INDEX 654
758
        B"01111110" when B"1010001111",  -- INDEX 655
759
        B"01101100" when B"1010010000",  -- INDEX 656
760
        B"01001010" when B"1010010001",  -- INDEX 657
761
        B"00011101" when B"1010010010",  -- INDEX 658
762
        B"11101011" when B"1010010011",  -- INDEX 659
763
        B"10111101" when B"1010010100",  -- INDEX 660
764
        B"10011001" when B"1010010101",  -- INDEX 661
765
        B"10000100" when B"1010010110",  -- INDEX 662
766
        B"10000001" when B"1010010111",  -- INDEX 663
767
        B"10010010" when B"1010011000",  -- INDEX 664
768
        B"10110011" when B"1010011001",  -- INDEX 665
769
        B"11011111" when B"1010011010",  -- INDEX 666
770
        B"00010001" when B"1010011011",  -- INDEX 667
771
        B"00111111" when B"1010011100",  -- INDEX 668
772
        B"01100101" when B"1010011101",  -- INDEX 669
773
        B"01111011" when B"1010011110",  -- INDEX 670
774
        B"01111111" when B"1010011111",  -- INDEX 671
775
        B"01110000" when B"1010100000",  -- INDEX 672
776
        B"01010000" when B"1010100001",  -- INDEX 673
777
        B"00100101" when B"1010100010",  -- INDEX 674
778
        B"11110011" when B"1010100011",  -- INDEX 675
779
        B"11000100" when B"1010100100",  -- INDEX 676
780
        B"10011110" when B"1010100101",  -- INDEX 677
781
        B"10000110" when B"1010100110",  -- INDEX 678
782
        B"10000000" when B"1010100111",  -- INDEX 679
783
        B"10001110" when B"1010101000",  -- INDEX 680
784
        B"10101100" when B"1010101001",  -- INDEX 681
785
        B"11010111" when B"1010101010",  -- INDEX 682
786
        B"00001001" when B"1010101011",  -- INDEX 683
787
        B"00111000" when B"1010101100",  -- INDEX 684
788
        B"01100000" when B"1010101101",  -- INDEX 685
789
        B"01111001" when B"1010101110",  -- INDEX 686
790
        B"01111111" when B"1010101111",  -- INDEX 687
791
        B"01110100" when B"1010110000",  -- INDEX 688
792
        B"01010111" when B"1010110001",  -- INDEX 689
793
        B"00101100" when B"1010110010",  -- INDEX 690
794
        B"11111011" when B"1010110011",  -- INDEX 691
795
        B"11001011" when B"1010110100",  -- INDEX 692
796
        B"10100011" when B"1010110101",  -- INDEX 693
797
        B"10001001" when B"1010110110",  -- INDEX 694
798
        B"10000000" when B"1010110111",  -- INDEX 695
799
        B"10001011" when B"1010111000",  -- INDEX 696
800
        B"10100111" when B"1010111001",  -- INDEX 697
801
        B"11010000" when B"1010111010",  -- INDEX 698
802
        B"00000001" when B"1010111011",  -- INDEX 699
803
        B"00110001" when B"1010111100",  -- INDEX 700
804
        B"01011010" when B"1010111101",  -- INDEX 701
805
        B"01110110" when B"1010111110",  -- INDEX 702
806
        B"01111111" when B"1010111111",  -- INDEX 703
807
        B"01110111" when B"1011000000",  -- INDEX 704
808
        B"01011100" when B"1011000001",  -- INDEX 705
809
        B"00110100" when B"1011000010",  -- INDEX 706
810
        B"00000100" when B"1011000011",  -- INDEX 707
811
        B"11010011" when B"1011000100",  -- INDEX 708
812
        B"10101001" when B"1011000101",  -- INDEX 709
813
        B"10001100" when B"1011000110",  -- INDEX 710
814
        B"10000000" when B"1011000111",  -- INDEX 711
815
        B"10001000" when B"1011001000",  -- INDEX 712
816
        B"10100001" when B"1011001001",  -- INDEX 713
817
        B"11001001" when B"1011001010",  -- INDEX 714
818
        B"11111000" when B"1011001011",  -- INDEX 715
819
        B"00101001" when B"1011001100",  -- INDEX 716
820
        B"01010100" when B"1011001101",  -- INDEX 717
821
        B"01110011" when B"1011001110",  -- INDEX 718
822
        B"01111111" when B"1011001111",  -- INDEX 719
823
        B"01111010" when B"1011010000",  -- INDEX 720
824
        B"01100010" when B"1011010001",  -- INDEX 721
825
        B"00111011" when B"1011010010",  -- INDEX 722
826
        B"00001100" when B"1011010011",  -- INDEX 723
827
        B"11011010" when B"1011010100",  -- INDEX 724
828
        B"10101111" when B"1011010101",  -- INDEX 725
829
        B"10001111" when B"1011010110",  -- INDEX 726
830
        B"10000001" when B"1011010111",  -- INDEX 727
831
        B"10000101" when B"1011011000",  -- INDEX 728
832
        B"10011100" when B"1011011001",  -- INDEX 729
833
        B"11000001" when B"1011011010",  -- INDEX 730
834
        B"11110000" when B"1011011011",  -- INDEX 731
835
        B"00100010" when B"1011011100",  -- INDEX 732
836
        B"01001110" when B"1011011101",  -- INDEX 733
837
        B"01101111" when B"1011011110",  -- INDEX 734
838
        B"01111111" when B"1011011111",  -- INDEX 735
839
        B"01111100" when B"1011100000",  -- INDEX 736
840
        B"01100111" when B"1011100001",  -- INDEX 737
841
        B"01000010" when B"1011100010",  -- INDEX 738
842
        B"00010100" when B"1011100011",  -- INDEX 739
843
        B"11100010" when B"1011100100",  -- INDEX 740
844
        B"10110101" when B"1011100101",  -- INDEX 741
845
        B"10010011" when B"1011100110",  -- INDEX 742
846
        B"10000010" when B"1011100111",  -- INDEX 743
847
        B"10000011" when B"1011101000",  -- INDEX 744
848
        B"10010111" when B"1011101001",  -- INDEX 745
849
        B"10111011" when B"1011101010",  -- INDEX 746
850
        B"11101001" when B"1011101011",  -- INDEX 747
851
        B"00011010" when B"1011101100",  -- INDEX 748
852
        B"01001000" when B"1011101101",  -- INDEX 749
853
        B"01101010" when B"1011101110",  -- INDEX 750
854
        B"01111101" when B"1011101111",  -- INDEX 751
855
        B"01111110" when B"1011110000",  -- INDEX 752
856
        B"01101011" when B"1011110001",  -- INDEX 753
857
        B"01001001" when B"1011110010",  -- INDEX 754
858
        B"00011011" when B"1011110011",  -- INDEX 755
859
        B"11101010" when B"1011110100",  -- INDEX 756
860
        B"10111100" when B"1011110101",  -- INDEX 757
861
        B"10011000" when B"1011110110",  -- INDEX 758
862
        B"10000011" when B"1011110111",  -- INDEX 759
863
        B"10000010" when B"1011111000",  -- INDEX 760
864
        B"10010011" when B"1011111001",  -- INDEX 761
865
        B"10110100" when B"1011111010",  -- INDEX 762
866
        B"11100001" when B"1011111011",  -- INDEX 763
867
        B"00010010" when B"1011111100",  -- INDEX 764
868
        B"01000001" when B"1011111101",  -- INDEX 765
869
        B"01100110" when B"1011111110",  -- INDEX 766
870
        B"01111100" when B"1011111111",  -- INDEX 767
871
        B"01111111" when B"1100000000",  -- INDEX 768
872
        B"01101111" when B"1100000001",  -- INDEX 769
873
        B"01001111" when B"1100000010",  -- INDEX 770
874
        B"00100011" when B"1100000011",  -- INDEX 771
875
        B"11110010" when B"1100000100",  -- INDEX 772
876
        B"11000011" when B"1100000101",  -- INDEX 773
877
        B"10011101" when B"1100000110",  -- INDEX 774
878
        B"10000110" when B"1100000111",  -- INDEX 775
879
        B"10000001" when B"1100001000",  -- INDEX 776
880
        B"10001111" when B"1100001001",  -- INDEX 777
881
        B"10101110" when B"1100001010",  -- INDEX 778
882
        B"11011001" when B"1100001011",  -- INDEX 779
883
        B"00001010" when B"1100001100",  -- INDEX 780
884
        B"00111010" when B"1100001101",  -- INDEX 781
885
        B"01100001" when B"1100001110",  -- INDEX 782
886
        B"01111001" when B"1100001111",  -- INDEX 783
887
        B"01111111" when B"1100010000",  -- INDEX 784
888
        B"01110011" when B"1100010001",  -- INDEX 785
889
        B"01010101" when B"1100010010",  -- INDEX 786
890
        B"00101011" when B"1100010011",  -- INDEX 787
891
        B"11111010" when B"1100010100",  -- INDEX 788
892
        B"11001010" when B"1100010101",  -- INDEX 789
893
        B"10100010" when B"1100010110",  -- INDEX 790
894
        B"10001000" when B"1100010111",  -- INDEX 791
895
        B"10000000" when B"1100011000",  -- INDEX 792
896
        B"10001011" when B"1100011001",  -- INDEX 793
897
        B"10101000" when B"1100011010",  -- INDEX 794
898
        B"11010001" when B"1100011011",  -- INDEX 795
899
        B"00000010" when B"1100011100",  -- INDEX 796
900
        B"00110010" when B"1100011101",  -- INDEX 797
901
        B"01011011" when B"1100011110",  -- INDEX 798
902
        B"01110110" when B"1100011111",  -- INDEX 799
903
        B"01111111" when B"1100100000",  -- INDEX 800
904
        B"01110110" when B"1100100001",  -- INDEX 801
905
        B"01011010" when B"1100100010",  -- INDEX 802
906
        B"00110000" when B"1100100011",  -- INDEX 803
907
        B"11111110" when B"1100100100",  -- INDEX 804
908
        B"11001101" when B"1100100101",  -- INDEX 805
909
        B"10100011" when B"1100100110",  -- INDEX 806
910
        B"10001000" when B"1100100111",  -- INDEX 807
911
        B"10000000" when B"1100101000",  -- INDEX 808
912
        B"10001100" when B"1100101001",  -- INDEX 809
913
        B"10101001" when B"1100101010",  -- INDEX 810
914
        B"11010100" when B"1100101011",  -- INDEX 811
915
        B"00000110" when B"1100101100",  -- INDEX 812
916
        B"00110111" when B"1100101101",  -- INDEX 813
917
        B"01011111" when B"1100101110",  -- INDEX 814
918
        B"01111001" when B"1100101111",  -- INDEX 815
919
        B"01111111" when B"1100110000",  -- INDEX 816
920
        B"01110011" when B"1100110001",  -- INDEX 817
921
        B"01010100" when B"1100110010",  -- INDEX 818
922
        B"00101000" when B"1100110011",  -- INDEX 819
923
        B"11110110" when B"1100110100",  -- INDEX 820
924
        B"11000101" when B"1100110101",  -- INDEX 821
925
        B"10011110" when B"1100110110",  -- INDEX 822
926
        B"10000110" when B"1100110111",  -- INDEX 823
927
        B"10000001" when B"1100111000",  -- INDEX 824
928
        B"10001111" when B"1100111001",  -- INDEX 825
929
        B"10101111" when B"1100111010",  -- INDEX 826
930
        B"11011100" when B"1100111011",  -- INDEX 827
931
        B"00001110" when B"1100111100",  -- INDEX 828
932
        B"00111110" when B"1100111101",  -- INDEX 829
933
        B"01100101" when B"1100111110",  -- INDEX 830
934
        B"01111011" when B"1100111111",  -- INDEX 831
935
        B"01111111" when B"1101000000",  -- INDEX 832
936
        B"01101111" when B"1101000001",  -- INDEX 833
937
        B"01001110" when B"1101000010",  -- INDEX 834
938
        B"00100000" when B"1101000011",  -- INDEX 835
939
        B"11101110" when B"1101000100",  -- INDEX 836
940
        B"10111110" when B"1101000101",  -- INDEX 837
941
        B"10011001" when B"1101000110",  -- INDEX 838
942
        B"10000100" when B"1101000111",  -- INDEX 839
943
        B"10000010" when B"1101001000",  -- INDEX 840
944
        B"10010011" when B"1101001001",  -- INDEX 841
945
        B"10110110" when B"1101001010",  -- INDEX 842
946
        B"11100100" when B"1101001011",  -- INDEX 843
947
        B"00010110" when B"1101001100",  -- INDEX 844
948
        B"01000101" when B"1101001101",  -- INDEX 845
949
        B"01101001" when B"1101001110",  -- INDEX 846
950
        B"01111101" when B"1101001111",  -- INDEX 847
951
        B"01111110" when B"1101010000",  -- INDEX 848
952
        B"01101011" when B"1101010001",  -- INDEX 849
953
        B"01000111" when B"1101010010",  -- INDEX 850
954
        B"00011000" when B"1101010011",  -- INDEX 851
955
        B"11100110" when B"1101010100",  -- INDEX 852
956
        B"10111000" when B"1101010101",  -- INDEX 853
957
        B"10010100" when B"1101010110",  -- INDEX 854
958
        B"10000010" when B"1101010111",  -- INDEX 855
959
        B"10000011" when B"1101011000",  -- INDEX 856
960
        B"10011000" when B"1101011001",  -- INDEX 857
961
        B"10111100" when B"1101011010",  -- INDEX 858
962
        B"11101011" when B"1101011011",  -- INDEX 859
963
        B"00011110" when B"1101011100",  -- INDEX 860
964
        B"01001100" when B"1101011101",  -- INDEX 861
965
        B"01101110" when B"1101011110",  -- INDEX 862
966
        B"01111111" when B"1101011111",  -- INDEX 863
967
        B"01111100" when B"1101100000",  -- INDEX 864
968
        B"01100110" when B"1101100001",  -- INDEX 865
969
        B"01000000" when B"1101100010",  -- INDEX 866
970
        B"00010001" when B"1101100011",  -- INDEX 867
971
        B"11011110" when B"1101100100",  -- INDEX 868
972
        B"10110001" when B"1101100101",  -- INDEX 869
973
        B"10010000" when B"1101100110",  -- INDEX 870
974
        B"10000001" when B"1101100111",  -- INDEX 871
975
        B"10000101" when B"1101101000",  -- INDEX 872
976
        B"10011100" when B"1101101001",  -- INDEX 873
977
        B"11000011" when B"1101101010",  -- INDEX 874
978
        B"11110011" when B"1101101011",  -- INDEX 875
979
        B"00100110" when B"1101101100",  -- INDEX 876
980
        B"01010010" when B"1101101101",  -- INDEX 877
981
        B"01110010" when B"1101101110",  -- INDEX 878
982
        B"01111111" when B"1101101111",  -- INDEX 879
983
        B"01111010" when B"1101110000",  -- INDEX 880
984
        B"01100001" when B"1101110001",  -- INDEX 881
985
        B"00111001" when B"1101110010",  -- INDEX 882
986
        B"00001001" when B"1101110011",  -- INDEX 883
987
        B"11010111" when B"1101110100",  -- INDEX 884
988
        B"10101011" when B"1101110101",  -- INDEX 885
989
        B"10001101" when B"1101110110",  -- INDEX 886
990
        B"10000000" when B"1101110111",  -- INDEX 887
991
        B"10001000" when B"1101111000",  -- INDEX 888
992
        B"10100010" when B"1101111001",  -- INDEX 889
993
        B"11001010" when B"1101111010",  -- INDEX 890
994
        B"11111011" when B"1101111011",  -- INDEX 891
995
        B"00101101" when B"1101111100",  -- INDEX 892
996
        B"01011000" when B"1101111101",  -- INDEX 893
997
        B"01110101" when B"1101111110",  -- INDEX 894
998
        B"01111111" when B"1101111111",  -- INDEX 895
999
        B"01110111" when B"1110000000",  -- INDEX 896
1000
        B"01011100" when B"1110000001",  -- INDEX 897
1001
        B"00110010" when B"1110000010",  -- INDEX 898
1002
        B"00000001" when B"1110000011",  -- INDEX 899
1003
        B"11001111" when B"1110000100",  -- INDEX 900
1004
        B"10100101" when B"1110000101",  -- INDEX 901
1005
        B"10001001" when B"1110000110",  -- INDEX 902
1006
        B"10000000" when B"1110000111",  -- INDEX 903
1007
        B"10001011" when B"1110001000",  -- INDEX 904
1008
        B"10100111" when B"1110001001",  -- INDEX 905
1009
        B"11010010" when B"1110001010",  -- INDEX 906
1010
        B"00000100" when B"1110001011",  -- INDEX 907
1011
        B"00110101" when B"1110001100",  -- INDEX 908
1012
        B"01011110" when B"1110001101",  -- INDEX 909
1013
        B"01111000" when B"1110001110",  -- INDEX 910
1014
        B"01111111" when B"1110001111",  -- INDEX 911
1015
        B"01110100" when B"1110010000",  -- INDEX 912
1016
        B"01010110" when B"1110010001",  -- INDEX 913
1017
        B"00101010" when B"1110010010",  -- INDEX 914
1018
        B"11111000" when B"1110010011",  -- INDEX 915
1019
        B"11001000" when B"1110010100",  -- INDEX 916
1020
        B"10100000" when B"1110010101",  -- INDEX 917
1021
        B"10000111" when B"1110010110",  -- INDEX 918
1022
        B"10000000" when B"1110010111",  -- INDEX 919
1023
        B"10001110" when B"1110011000",  -- INDEX 920
1024
        B"10101101" when B"1110011001",  -- INDEX 921
1025
        B"11011001" when B"1110011010",  -- INDEX 922
1026
        B"00001100" when B"1110011011",  -- INDEX 923
1027
        B"00111100" when B"1110011100",  -- INDEX 924
1028
        B"01100011" when B"1110011101",  -- INDEX 925
1029
        B"01111011" when B"1110011110",  -- INDEX 926
1030
        B"01111111" when B"1110011111",  -- INDEX 927
1031
        B"01110000" when B"1110100000",  -- INDEX 928
1032
        B"01010000" when B"1110100001",  -- INDEX 929
1033
        B"00100011" when B"1110100010",  -- INDEX 930
1034
        B"11110000" when B"1110100011",  -- INDEX 931
1035
        B"11000001" when B"1110100100",  -- INDEX 932
1036
        B"10011011" when B"1110100101",  -- INDEX 933
1037
        B"10000100" when B"1110100110",  -- INDEX 934
1038
        B"10000001" when B"1110100111",  -- INDEX 935
1039
        B"10010010" when B"1110101000",  -- INDEX 936
1040
        B"10110100" when B"1110101001",  -- INDEX 937
1041
        B"11100001" when B"1110101010",  -- INDEX 938
1042
        B"00010100" when B"1110101011",  -- INDEX 939
1043
        B"01000011" when B"1110101100",  -- INDEX 940
1044
        B"01101000" when B"1110101101",  -- INDEX 941
1045
        B"01111101" when B"1110101110",  -- INDEX 942
1046
        B"01111110" when B"1110101111",  -- INDEX 943
1047
        B"01101100" when B"1110110000",  -- INDEX 944
1048
        B"01001001" when B"1110110001",  -- INDEX 945
1049
        B"00011011" when B"1110110010",  -- INDEX 946
1050
        B"11101001" when B"1110110011",  -- INDEX 947
1051
        B"10111010" when B"1110110100",  -- INDEX 948
1052
        B"10010110" when B"1110110101",  -- INDEX 949
1053
        B"10000010" when B"1110110110",  -- INDEX 950
1054
        B"10000011" when B"1110110111",  -- INDEX 951
1055
        B"10010110" when B"1110111000",  -- INDEX 952
1056
        B"10111010" when B"1110111001",  -- INDEX 953
1057
        B"11101001" when B"1110111010",  -- INDEX 954
1058
        B"00011011" when B"1110111011",  -- INDEX 955
1059
        B"01001010" when B"1110111100",  -- INDEX 956
1060
        B"01101100" when B"1110111101",  -- INDEX 957
1061
        B"01111110" when B"1110111110",  -- INDEX 958
1062
        B"01111101" when B"1110111111",  -- INDEX 959
1063
        B"01101000" when B"1111000000",  -- INDEX 960
1064
        B"01000010" when B"1111000001",  -- INDEX 961
1065
        B"00010011" when B"1111000010",  -- INDEX 962
1066
        B"11100001" when B"1111000011",  -- INDEX 963
1067
        B"10110011" when B"1111000100",  -- INDEX 964
1068
        B"10010010" when B"1111000101",  -- INDEX 965
1069
        B"10000001" when B"1111000110",  -- INDEX 966
1070
        B"10000100" when B"1111000111",  -- INDEX 967
1071
        B"10011011" when B"1111001000",  -- INDEX 968
1072
        B"11000001" when B"1111001001",  -- INDEX 969
1073
        B"11110001" when B"1111001010",  -- INDEX 970
1074
        B"00100011" when B"1111001011",  -- INDEX 971
1075
        B"01010000" when B"1111001100",  -- INDEX 972
1076
        B"01110000" when B"1111001101",  -- INDEX 973
1077
        B"01111111" when B"1111001110",  -- INDEX 974
1078
        B"01111010" when B"1111001111",  -- INDEX 975
1079
        B"01100011" when B"1111010000",  -- INDEX 976
1080
        B"00111011" when B"1111010001",  -- INDEX 977
1081
        B"00001011" when B"1111010010",  -- INDEX 978
1082
        B"11011001" when B"1111010011",  -- INDEX 979
1083
        B"10101101" when B"1111010100",  -- INDEX 980
1084
        B"10001110" when B"1111010101",  -- INDEX 981
1085
        B"10000000" when B"1111010110",  -- INDEX 982
1086
        B"10000111" when B"1111010111",  -- INDEX 983
1087
        B"10100000" when B"1111011000",  -- INDEX 984
1088
        B"11001000" when B"1111011001",  -- INDEX 985
1089
        B"11111001" when B"1111011010",  -- INDEX 986
1090
        B"00101011" when B"1111011011",  -- INDEX 987
1091
        B"01010110" when B"1111011100",  -- INDEX 988
1092
        B"01110100" when B"1111011101",  -- INDEX 989
1093
        B"01111111" when B"1111011110",  -- INDEX 990
1094
        B"01111000" when B"1111011111",  -- INDEX 991
1095
        B"01011101" when B"1111100000",  -- INDEX 992
1096
        B"00110100" when B"1111100001",  -- INDEX 993
1097
        B"00000011" when B"1111100010",  -- INDEX 994
1098
        B"11010001" when B"1111100011",  -- INDEX 995
1099
        B"10100111" when B"1111100100",  -- INDEX 996
1100
        B"10001010" when B"1111100101",  -- INDEX 997
1101
        B"10000000" when B"1111100110",  -- INDEX 998
1102
        B"10001010" when B"1111100111",  -- INDEX 999
1103
 
1104
-- END INPUT FM SIGNAL
1105
        B"00000000" when others;
1106
 
1107
        with counter (09 downto 0) select
1108
        test_signal_fmTri_int <=
1109
-- START INPUT FM-TRI SIGNAL
1110
 
1111
        B"01111111" when B"0000000000",  -- INDEX 0
1112
        B"01110110" when B"0000000001",  -- INDEX 1
1113
        B"01011011" when B"0000000010",  -- INDEX 2
1114
        B"00110010" when B"0000000011",  -- INDEX 3
1115
        B"00000010" when B"0000000100",  -- INDEX 4
1116
        B"11010001" when B"0000000101",  -- INDEX 5
1117
        B"10101000" when B"0000000110",  -- INDEX 6
1118
        B"10001011" when B"0000000111",  -- INDEX 7
1119
        B"10000000" when B"0000001000",  -- INDEX 8
1120
        B"10001000" when B"0000001001",  -- INDEX 9
1121
        B"10100010" when B"0000001010",  -- INDEX 10
1122
        B"11001010" when B"0000001011",  -- INDEX 11
1123
        B"11111010" when B"0000001100",  -- INDEX 12
1124
        B"00101011" when B"0000001101",  -- INDEX 13
1125
        B"01010110" when B"0000001110",  -- INDEX 14
1126
        B"01110011" when B"0000001111",  -- INDEX 15
1127
        B"01111111" when B"0000010000",  -- INDEX 16
1128
        B"01111001" when B"0000010001",  -- INDEX 17
1129
        B"01100000" when B"0000010010",  -- INDEX 18
1130
        B"00111001" when B"0000010011",  -- INDEX 19
1131
        B"00001001" when B"0000010100",  -- INDEX 20
1132
        B"11011000" when B"0000010101",  -- INDEX 21
1133
        B"10101101" when B"0000010110",  -- INDEX 22
1134
        B"10001110" when B"0000010111",  -- INDEX 23
1135
        B"10000000" when B"0000011000",  -- INDEX 24
1136
        B"10000110" when B"0000011001",  -- INDEX 25
1137
        B"10011110" when B"0000011010",  -- INDEX 26
1138
        B"11000100" when B"0000011011",  -- INDEX 27
1139
        B"11110100" when B"0000011100",  -- INDEX 28
1140
        B"00100101" when B"0000011101",  -- INDEX 29
1141
        B"01010001" when B"0000011110",  -- INDEX 30
1142
        B"01110001" when B"0000011111",  -- INDEX 31
1143
        B"01111111" when B"0000100000",  -- INDEX 32
1144
        B"01111011" when B"0000100001",  -- INDEX 33
1145
        B"01100100" when B"0000100010",  -- INDEX 34
1146
        B"00111110" when B"0000100011",  -- INDEX 35
1147
        B"00001111" when B"0000100100",  -- INDEX 36
1148
        B"11011101" when B"0000100101",  -- INDEX 37
1149
        B"10110001" when B"0000100110",  -- INDEX 38
1150
        B"10010001" when B"0000100111",  -- INDEX 39
1151
        B"10000001" when B"0000101000",  -- INDEX 40
1152
        B"10000100" when B"0000101001",  -- INDEX 41
1153
        B"10011010" when B"0000101010",  -- INDEX 42
1154
        B"11000000" when B"0000101011",  -- INDEX 43
1155
        B"11101111" when B"0000101100",  -- INDEX 44
1156
        B"00100000" when B"0000101101",  -- INDEX 45
1157
        B"01001101" when B"0000101110",  -- INDEX 46
1158
        B"01101110" when B"0000101111",  -- INDEX 47
1159
        B"01111111" when B"0000110000",  -- INDEX 48
1160
        B"01111100" when B"0000110001",  -- INDEX 49
1161
        B"01100111" when B"0000110010",  -- INDEX 50
1162
        B"01000010" when B"0000110011",  -- INDEX 51
1163
        B"00010011" when B"0000110100",  -- INDEX 52
1164
        B"11100010" when B"0000110101",  -- INDEX 53
1165
        B"10110101" when B"0000110110",  -- INDEX 54
1166
        B"10010011" when B"0000110111",  -- INDEX 55
1167
        B"10000010" when B"0000111000",  -- INDEX 56
1168
        B"10000011" when B"0000111001",  -- INDEX 57
1169
        B"10011000" when B"0000111010",  -- INDEX 58
1170
        B"10111100" when B"0000111011",  -- INDEX 59
1171
        B"11101011" when B"0000111100",  -- INDEX 60
1172
        B"00011101" when B"0000111101",  -- INDEX 61
1173
        B"01001010" when B"0000111110",  -- INDEX 62
1174
        B"01101100" when B"0000111111",  -- INDEX 63
1175
        B"01111110" when B"0001000000",  -- INDEX 64
1176
        B"01111101" when B"0001000001",  -- INDEX 65
1177
        B"01101001" when B"0001000010",  -- INDEX 66
1178
        B"01000101" when B"0001000011",  -- INDEX 67
1179
        B"00010111" when B"0001000100",  -- INDEX 68
1180
        B"11100101" when B"0001000101",  -- INDEX 69
1181
        B"10110111" when B"0001000110",  -- INDEX 70
1182
        B"10010101" when B"0001000111",  -- INDEX 71
1183
        B"10000010" when B"0001001000",  -- INDEX 72
1184
        B"10000011" when B"0001001001",  -- INDEX 73
1185
        B"10010110" when B"0001001010",  -- INDEX 74
1186
        B"10111010" when B"0001001011",  -- INDEX 75
1187
        B"11101000" when B"0001001100",  -- INDEX 76
1188
        B"00011010" when B"0001001101",  -- INDEX 77
1189
        B"01001000" when B"0001001110",  -- INDEX 78
1190
        B"01101011" when B"0001001111",  -- INDEX 79
1191
        B"01111110" when B"0001010000",  -- INDEX 80
1192
        B"01111101" when B"0001010001",  -- INDEX 81
1193
        B"01101010" when B"0001010010",  -- INDEX 82
1194
        B"01000111" when B"0001010011",  -- INDEX 83
1195
        B"00011001" when B"0001010100",  -- INDEX 84
1196
        B"11100111" when B"0001010101",  -- INDEX 85
1197
        B"10111001" when B"0001010110",  -- INDEX 86
1198
        B"10010101" when B"0001010111",  -- INDEX 87
1199
        B"10000010" when B"0001011000",  -- INDEX 88
1200
        B"10000010" when B"0001011001",  -- INDEX 89
1201
        B"10010110" when B"0001011010",  -- INDEX 90
1202
        B"10111001" when B"0001011011",  -- INDEX 91
1203
        B"11100111" when B"0001011100",  -- INDEX 92
1204
        B"00011001" when B"0001011101",  -- INDEX 93
1205
        B"01000111" when B"0001011110",  -- INDEX 94
1206
        B"01101010" when B"0001011111",  -- INDEX 95
1207
        B"01111110" when B"0001100000",  -- INDEX 96
1208
        B"01111110" when B"0001100001",  -- INDEX 97
1209
        B"01101011" when B"0001100010",  -- INDEX 98
1210
        B"01000111" when B"0001100011",  -- INDEX 99
1211
        B"00011001" when B"0001100100",  -- INDEX 100
1212
        B"11100111" when B"0001100101",  -- INDEX 101
1213
        B"10111001" when B"0001100110",  -- INDEX 102
1214
        B"10010110" when B"0001100111",  -- INDEX 103
1215
        B"10000011" when B"0001101000",  -- INDEX 104
1216
        B"10000010" when B"0001101001",  -- INDEX 105
1217
        B"10010101" when B"0001101010",  -- INDEX 106
1218
        B"10111001" when B"0001101011",  -- INDEX 107
1219
        B"11100111" when B"0001101100",  -- INDEX 108
1220
        B"00011001" when B"0001101101",  -- INDEX 109
1221
        B"01000111" when B"0001101110",  -- INDEX 110
1222
        B"01101010" when B"0001101111",  -- INDEX 111
1223
        B"01111110" when B"0001110000",  -- INDEX 112
1224
        B"01111110" when B"0001110001",  -- INDEX 113
1225
        B"01101010" when B"0001110010",  -- INDEX 114
1226
        B"01000111" when B"0001110011",  -- INDEX 115
1227
        B"00011001" when B"0001110100",  -- INDEX 116
1228
        B"11100111" when B"0001110101",  -- INDEX 117
1229
        B"10111000" when B"0001110110",  -- INDEX 118
1230
        B"10010101" when B"0001110111",  -- INDEX 119
1231
        B"10000010" when B"0001111000",  -- INDEX 120
1232
        B"10000011" when B"0001111001",  -- INDEX 121
1233
        B"10010110" when B"0001111010",  -- INDEX 122
1234
        B"10111010" when B"0001111011",  -- INDEX 123
1235
        B"11101000" when B"0001111100",  -- INDEX 124
1236
        B"00011010" when B"0001111101",  -- INDEX 125
1237
        B"01001000" when B"0001111110",  -- INDEX 126
1238
        B"01101011" when B"0001111111",  -- INDEX 127
1239
        B"01111110" when B"0010000000",  -- INDEX 128
1240
        B"01111101" when B"0010000001",  -- INDEX 129
1241
        B"01101001" when B"0010000010",  -- INDEX 130
1242
        B"01000101" when B"0010000011",  -- INDEX 131
1243
        B"00010111" when B"0010000100",  -- INDEX 132
1244
        B"11100101" when B"0010000101",  -- INDEX 133
1245
        B"10110111" when B"0010000110",  -- INDEX 134
1246
        B"10010100" when B"0010000111",  -- INDEX 135
1247
        B"10000010" when B"0010001000",  -- INDEX 136
1248
        B"10000011" when B"0010001001",  -- INDEX 137
1249
        B"10010111" when B"0010001010",  -- INDEX 138
1250
        B"10111100" when B"0010001011",  -- INDEX 139
1251
        B"11101011" when B"0010001100",  -- INDEX 140
1252
        B"00011101" when B"0010001101",  -- INDEX 141
1253
        B"01001010" when B"0010001110",  -- INDEX 142
1254
        B"01101101" when B"0010001111",  -- INDEX 143
1255
        B"01111110" when B"0010010000",  -- INDEX 144
1256
        B"01111101" when B"0010010001",  -- INDEX 145
1257
        B"01101000" when B"0010010010",  -- INDEX 146
1258
        B"01000011" when B"0010010011",  -- INDEX 147
1259
        B"00010100" when B"0010010100",  -- INDEX 148
1260
        B"11100010" when B"0010010101",  -- INDEX 149
1261
        B"10110100" when B"0010010110",  -- INDEX 150
1262
        B"10010010" when B"0010010111",  -- INDEX 151
1263
        B"10000001" when B"0010011000",  -- INDEX 152
1264
        B"10000100" when B"0010011001",  -- INDEX 153
1265
        B"10011010" when B"0010011010",  -- INDEX 154
1266
        B"10111111" when B"0010011011",  -- INDEX 155
1267
        B"11101110" when B"0010011100",  -- INDEX 156
1268
        B"00100001" when B"0010011101",  -- INDEX 157
1269
        B"01001110" when B"0010011110",  -- INDEX 158
1270
        B"01101111" when B"0010011111",  -- INDEX 159
1271
        B"01111111" when B"0010100000",  -- INDEX 160
1272
        B"01111011" when B"0010100001",  -- INDEX 161
1273
        B"01100101" when B"0010100010",  -- INDEX 162
1274
        B"00111111" when B"0010100011",  -- INDEX 163
1275
        B"00001111" when B"0010100100",  -- INDEX 164
1276
        B"11011101" when B"0010100101",  -- INDEX 165
1277
        B"10110000" when B"0010100110",  -- INDEX 166
1278
        B"10010000" when B"0010100111",  -- INDEX 167
1279
        B"10000001" when B"0010101000",  -- INDEX 168
1280
        B"10000101" when B"0010101001",  -- INDEX 169
1281
        B"10011101" when B"0010101010",  -- INDEX 170
1282
        B"11000011" when B"0010101011",  -- INDEX 171
1283
        B"11110011" when B"0010101100",  -- INDEX 172
1284
        B"00100110" when B"0010101101",  -- INDEX 173
1285
        B"01010010" when B"0010101110",  -- INDEX 174
1286
        B"01110001" when B"0010101111",  -- INDEX 175
1287
        B"01111111" when B"0010110000",  -- INDEX 176
1288
        B"01111010" when B"0010110001",  -- INDEX 177
1289
        B"01100001" when B"0010110010",  -- INDEX 178
1290
        B"00111010" when B"0010110011",  -- INDEX 179
1291
        B"00001001" when B"0010110100",  -- INDEX 180
1292
        B"11011000" when B"0010110101",  -- INDEX 181
1293
        B"10101100" when B"0010110110",  -- INDEX 182
1294
        B"10001101" when B"0010110111",  -- INDEX 183
1295
        B"10000000" when B"0010111000",  -- INDEX 184
1296
        B"10000111" when B"0010111001",  -- INDEX 185
1297
        B"10100001" when B"0010111010",  -- INDEX 186
1298
        B"11001001" when B"0010111011",  -- INDEX 187
1299
        B"11111010" when B"0010111100",  -- INDEX 188
1300
        B"00101100" when B"0010111101",  -- INDEX 189
1301
        B"01010111" when B"0010111110",  -- INDEX 190
1302
        B"01110100" when B"0010111111",  -- INDEX 191
1303
        B"01111111" when B"0011000000",  -- INDEX 192
1304
        B"01111000" when B"0011000001",  -- INDEX 193
1305
        B"01011101" when B"0011000010",  -- INDEX 194
1306
        B"00110100" when B"0011000011",  -- INDEX 195
1307
        B"00000010" when B"0011000100",  -- INDEX 196
1308
        B"11010001" when B"0011000101",  -- INDEX 197
1309
        B"10100111" when B"0011000110",  -- INDEX 198
1310
        B"10001010" when B"0011000111",  -- INDEX 199
1311
        B"10000000" when B"0011001000",  -- INDEX 200
1312
        B"10001010" when B"0011001001",  -- INDEX 201
1313
        B"10100110" when B"0011001010",  -- INDEX 202
1314
        B"11010000" when B"0011001011",  -- INDEX 203
1315
        B"00000001" when B"0011001100",  -- INDEX 204
1316
        B"00110011" when B"0011001101",  -- INDEX 205
1317
        B"01011100" when B"0011001110",  -- INDEX 206
1318
        B"01110111" when B"0011001111",  -- INDEX 207
1319
        B"01111111" when B"0011010000",  -- INDEX 208
1320
        B"01110101" when B"0011010001",  -- INDEX 209
1321
        B"01010111" when B"0011010010",  -- INDEX 210
1322
        B"00101101" when B"0011010011",  -- INDEX 211
1323
        B"11111011" when B"0011010100",  -- INDEX 212
1324
        B"11001010" when B"0011010101",  -- INDEX 213
1325
        B"10100001" when B"0011010110",  -- INDEX 214
1326
        B"10000111" when B"0011010111",  -- INDEX 215
1327
        B"10000000" when B"0011011000",  -- INDEX 216
1328
        B"10001101" when B"0011011001",  -- INDEX 217
1329
        B"10101011" when B"0011011010",  -- INDEX 218
1330
        B"11010111" when B"0011011011",  -- INDEX 219
1331
        B"00001001" when B"0011011100",  -- INDEX 220
1332
        B"00111001" when B"0011011101",  -- INDEX 221
1333
        B"01100001" when B"0011011110",  -- INDEX 222
1334
        B"01111010" when B"0011011111",  -- INDEX 223
1335
        B"01111111" when B"0011100000",  -- INDEX 224
1336
        B"01110010" when B"0011100001",  -- INDEX 225
1337
        B"01010010" when B"0011100010",  -- INDEX 226
1338
        B"00100110" when B"0011100011",  -- INDEX 227
1339
        B"11110100" when B"0011100100",  -- INDEX 228
1340
        B"11000100" when B"0011100101",  -- INDEX 229
1341
        B"10011101" when B"0011100110",  -- INDEX 230
1342
        B"10000101" when B"0011100111",  -- INDEX 231
1343
        B"10000001" when B"0011101000",  -- INDEX 232
1344
        B"10010000" when B"0011101001",  -- INDEX 233
1345
        B"10110000" when B"0011101010",  -- INDEX 234
1346
        B"11011100" when B"0011101011",  -- INDEX 235
1347
        B"00001110" when B"0011101100",  -- INDEX 236
1348
        B"00111110" when B"0011101101",  -- INDEX 237
1349
        B"01100101" when B"0011101110",  -- INDEX 238
1350
        B"01111011" when B"0011101111",  -- INDEX 239
1351
        B"01111111" when B"0011110000",  -- INDEX 240
1352
        B"01101111" when B"0011110001",  -- INDEX 241
1353
        B"01001110" when B"0011110010",  -- INDEX 242
1354
        B"00100001" when B"0011110011",  -- INDEX 243
1355
        B"11101111" when B"0011110100",  -- INDEX 244
1356
        B"11000000" when B"0011110101",  -- INDEX 245
1357
        B"10011010" when B"0011110110",  -- INDEX 246
1358
        B"10000100" when B"0011110111",  -- INDEX 247
1359
        B"10000001" when B"0011111000",  -- INDEX 248
1360
        B"10010010" when B"0011111001",  -- INDEX 249
1361
        B"10110011" when B"0011111010",  -- INDEX 250
1362
        B"11100001" when B"0011111011",  -- INDEX 251
1363
        B"00010011" when B"0011111100",  -- INDEX 252
1364
        B"01000010" when B"0011111101",  -- INDEX 253
1365
        B"01100111" when B"0011111110",  -- INDEX 254
1366
        B"01111100" when B"0011111111",  -- INDEX 255
1367
        B"01111110" when B"0100000000",  -- INDEX 256
1368
        B"01101101" when B"0100000001",  -- INDEX 257
1369
        B"01001011" when B"0100000010",  -- INDEX 258
1370
        B"00011101" when B"0100000011",  -- INDEX 259
1371
        B"11101011" when B"0100000100",  -- INDEX 260
1372
        B"10111100" when B"0100000101",  -- INDEX 261
1373
        B"10011000" when B"0100000110",  -- INDEX 262
1374
        B"10000011" when B"0100000111",  -- INDEX 263
1375
        B"10000010" when B"0100001000",  -- INDEX 264
1376
        B"10010100" when B"0100001001",  -- INDEX 265
1377
        B"10110110" when B"0100001010",  -- INDEX 266
1378
        B"11100100" when B"0100001011",  -- INDEX 267
1379
        B"00010110" when B"0100001100",  -- INDEX 268
1380
        B"01000101" when B"0100001101",  -- INDEX 269
1381
        B"01101001" when B"0100001110",  -- INDEX 270
1382
        B"01111101" when B"0100001111",  -- INDEX 271
1383
        B"01111110" when B"0100010000",  -- INDEX 272
1384
        B"01101100" when B"0100010001",  -- INDEX 273
1385
        B"01001001" when B"0100010010",  -- INDEX 274
1386
        B"00011011" when B"0100010011",  -- INDEX 275
1387
        B"11101001" when B"0100010100",  -- INDEX 276
1388
        B"10111010" when B"0100010101",  -- INDEX 277
1389
        B"10010110" when B"0100010110",  -- INDEX 278
1390
        B"10000011" when B"0100010111",  -- INDEX 279
1391
        B"10000010" when B"0100011000",  -- INDEX 280
1392
        B"10010101" when B"0100011001",  -- INDEX 281
1393
        B"10111000" when B"0100011010",  -- INDEX 282
1394
        B"11100110" when B"0100011011",  -- INDEX 283
1395
        B"00011000" when B"0100011100",  -- INDEX 284
1396
        B"01000110" when B"0100011101",  -- INDEX 285
1397
        B"01101010" when B"0100011110",  -- INDEX 286
1398
        B"01111101" when B"0100011111",  -- INDEX 287
1399
        B"01111110" when B"0100100000",  -- INDEX 288
1400
        B"01101011" when B"0100100001",  -- INDEX 289
1401
        B"01001000" when B"0100100010",  -- INDEX 290
1402
        B"00011001" when B"0100100011",  -- INDEX 291
1403
        B"11100111" when B"0100100100",  -- INDEX 292
1404
        B"10111001" when B"0100100101",  -- INDEX 293
1405
        B"10010110" when B"0100100110",  -- INDEX 294
1406
        B"10000011" when B"0100100111",  -- INDEX 295
1407
        B"10000010" when B"0100101000",  -- INDEX 296
1408
        B"10010101" when B"0100101001",  -- INDEX 297
1409
        B"10111001" when B"0100101010",  -- INDEX 298
1410
        B"11100111" when B"0100101011",  -- INDEX 299
1411
        B"00011001" when B"0100101100",  -- INDEX 300
1412
        B"01000111" when B"0100101101",  -- INDEX 301
1413
        B"01101010" when B"0100101110",  -- INDEX 302
1414
        B"01111101" when B"0100101111",  -- INDEX 303
1415
        B"01111110" when B"0100110000",  -- INDEX 304
1416
        B"01101011" when B"0100110001",  -- INDEX 305
1417
        B"01000111" when B"0100110010",  -- INDEX 306
1418
        B"00011001" when B"0100110011",  -- INDEX 307
1419
        B"11100111" when B"0100110100",  -- INDEX 308
1420
        B"10111001" when B"0100110101",  -- INDEX 309
1421
        B"10010110" when B"0100110110",  -- INDEX 310
1422
        B"10000011" when B"0100110111",  -- INDEX 311
1423
        B"10000010" when B"0100111000",  -- INDEX 312
1424
        B"10010101" when B"0100111001",  -- INDEX 313
1425
        B"10111000" when B"0100111010",  -- INDEX 314
1426
        B"11100110" when B"0100111011",  -- INDEX 315
1427
        B"00011000" when B"0100111100",  -- INDEX 316
1428
        B"01000110" when B"0100111101",  -- INDEX 317
1429
        B"01101010" when B"0100111110",  -- INDEX 318
1430
        B"01111101" when B"0100111111",  -- INDEX 319
1431
        B"01111110" when B"0101000000",  -- INDEX 320
1432
        B"01101011" when B"0101000001",  -- INDEX 321
1433
        B"01001000" when B"0101000010",  -- INDEX 322
1434
        B"00011010" when B"0101000011",  -- INDEX 323
1435
        B"11101001" when B"0101000100",  -- INDEX 324
1436
        B"10111010" when B"0101000101",  -- INDEX 325
1437
        B"10010111" when B"0101000110",  -- INDEX 326
1438
        B"10000011" when B"0101000111",  -- INDEX 327
1439
        B"10000010" when B"0101001000",  -- INDEX 328
1440
        B"10010100" when B"0101001001",  -- INDEX 329
1441
        B"10110111" when B"0101001010",  -- INDEX 330
1442
        B"11100100" when B"0101001011",  -- INDEX 331
1443
        B"00010110" when B"0101001100",  -- INDEX 332
1444
        B"01000101" when B"0101001101",  -- INDEX 333
1445
        B"01101001" when B"0101001110",  -- INDEX 334
1446
        B"01111101" when B"0101001111",  -- INDEX 335
1447
        B"01111110" when B"0101010000",  -- INDEX 336
1448
        B"01101100" when B"0101010001",  -- INDEX 337
1449
        B"01001010" when B"0101010010",  -- INDEX 338
1450
        B"00011101" when B"0101010011",  -- INDEX 339
1451
        B"11101011" when B"0101010100",  -- INDEX 340
1452
        B"10111101" when B"0101010101",  -- INDEX 341
1453
        B"10011000" when B"0101010110",  -- INDEX 342
1454
        B"10000011" when B"0101010111",  -- INDEX 343
1455
        B"10000010" when B"0101011000",  -- INDEX 344
1456
        B"10010011" when B"0101011001",  -- INDEX 345
1457
        B"10110100" when B"0101011010",  -- INDEX 346
1458
        B"11100001" when B"0101011011",  -- INDEX 347
1459
        B"00010011" when B"0101011100",  -- INDEX 348
1460
        B"01000010" when B"0101011101",  -- INDEX 349
1461
        B"01100111" when B"0101011110",  -- INDEX 350
1462
        B"01111100" when B"0101011111",  -- INDEX 351
1463
        B"01111111" when B"0101100000",  -- INDEX 352
1464
        B"01101110" when B"0101100001",  -- INDEX 353
1465
        B"01001101" when B"0101100010",  -- INDEX 354
1466
        B"00100000" when B"0101100011",  -- INDEX 355
1467
        B"11101111" when B"0101100100",  -- INDEX 356
1468
        B"11000000" when B"0101100101",  -- INDEX 357
1469
        B"10011011" when B"0101100110",  -- INDEX 358
1470
        B"10000100" when B"0101100111",  -- INDEX 359
1471
        B"10000001" when B"0101101000",  -- INDEX 360
1472
        B"10010001" when B"0101101001",  -- INDEX 361
1473
        B"10110001" when B"0101101010",  -- INDEX 362
1474
        B"11011101" when B"0101101011",  -- INDEX 363
1475
        B"00001111" when B"0101101100",  -- INDEX 364
1476
        B"00111110" when B"0101101101",  -- INDEX 365
1477
        B"01100100" when B"0101101110",  -- INDEX 366
1478
        B"01111011" when B"0101101111",  -- INDEX 367
1479
        B"01111111" when B"0101110000",  -- INDEX 368
1480
        B"01110001" when B"0101110001",  -- INDEX 369
1481
        B"01010001" when B"0101110010",  -- INDEX 370
1482
        B"00100101" when B"0101110011",  -- INDEX 371
1483
        B"11110100" when B"0101110100",  -- INDEX 372
1484
        B"11000100" when B"0101110101",  -- INDEX 373
1485
        B"10011110" when B"0101110110",  -- INDEX 374
1486
        B"10000110" when B"0101110111",  -- INDEX 375
1487
        B"10000000" when B"0101111000",  -- INDEX 376
1488
        B"10001110" when B"0101111001",  -- INDEX 377
1489
        B"10101101" when B"0101111010",  -- INDEX 378
1490
        B"11011000" when B"0101111011",  -- INDEX 379
1491
        B"00001001" when B"0101111100",  -- INDEX 380
1492
        B"00111001" when B"0101111101",  -- INDEX 381
1493
        B"01100000" when B"0101111110",  -- INDEX 382
1494
        B"01111001" when B"0101111111",  -- INDEX 383
1495
        B"01111111" when B"0110000000",  -- INDEX 384
1496
        B"01110011" when B"0110000001",  -- INDEX 385
1497
        B"01010110" when B"0110000010",  -- INDEX 386
1498
        B"00101011" when B"0110000011",  -- INDEX 387
1499
        B"11111010" when B"0110000100",  -- INDEX 388
1500
        B"11001010" when B"0110000101",  -- INDEX 389
1501
        B"10100010" when B"0110000110",  -- INDEX 390
1502
        B"10001000" when B"0110000111",  -- INDEX 391
1503
        B"10000000" when B"0110001000",  -- INDEX 392
1504
        B"10001011" when B"0110001001",  -- INDEX 393
1505
        B"10101000" when B"0110001010",  -- INDEX 394
1506
        B"11010001" when B"0110001011",  -- INDEX 395
1507
        B"00000010" when B"0110001100",  -- INDEX 396
1508
        B"00110010" when B"0110001101",  -- INDEX 397
1509
        B"01011011" when B"0110001110",  -- INDEX 398
1510
        B"01110110" when B"0110001111",  -- INDEX 399
1511
        B"01111111" when B"0110010000",  -- INDEX 400
1512
        B"01110110" when B"0110010001",  -- INDEX 401
1513
        B"01011011" when B"0110010010",  -- INDEX 402
1514
        B"00110010" when B"0110010011",  -- INDEX 403
1515
        B"00000010" when B"0110010100",  -- INDEX 404
1516
        B"11010001" when B"0110010101",  -- INDEX 405
1517
        B"10101000" when B"0110010110",  -- INDEX 406
1518
        B"10001011" when B"0110010111",  -- INDEX 407
1519
        B"10000000" when B"0110011000",  -- INDEX 408
1520
        B"10001000" when B"0110011001",  -- INDEX 409
1521
        B"10100010" when B"0110011010",  -- INDEX 410
1522
        B"11001010" when B"0110011011",  -- INDEX 411
1523
        B"11111010" when B"0110011100",  -- INDEX 412
1524
        B"00101011" when B"0110011101",  -- INDEX 413
1525
        B"01010110" when B"0110011110",  -- INDEX 414
1526
        B"01110011" when B"0110011111",  -- INDEX 415
1527
        B"01111111" when B"0110100000",  -- INDEX 416
1528
        B"01111001" when B"0110100001",  -- INDEX 417
1529
        B"01100000" when B"0110100010",  -- INDEX 418
1530
        B"00111001" when B"0110100011",  -- INDEX 419
1531
        B"00001001" when B"0110100100",  -- INDEX 420
1532
        B"11011000" when B"0110100101",  -- INDEX 421
1533
        B"10101101" when B"0110100110",  -- INDEX 422
1534
        B"10001110" when B"0110100111",  -- INDEX 423
1535
        B"10000000" when B"0110101000",  -- INDEX 424
1536
        B"10000110" when B"0110101001",  -- INDEX 425
1537
        B"10011110" when B"0110101010",  -- INDEX 426
1538
        B"11000100" when B"0110101011",  -- INDEX 427
1539
        B"11110100" when B"0110101100",  -- INDEX 428
1540
        B"00100101" when B"0110101101",  -- INDEX 429
1541
        B"01010001" when B"0110101110",  -- INDEX 430
1542
        B"01110001" when B"0110101111",  -- INDEX 431
1543
        B"01111111" when B"0110110000",  -- INDEX 432
1544
        B"01111011" when B"0110110001",  -- INDEX 433
1545
        B"01100100" when B"0110110010",  -- INDEX 434
1546
        B"00111110" when B"0110110011",  -- INDEX 435
1547
        B"00001111" when B"0110110100",  -- INDEX 436
1548
        B"11011101" when B"0110110101",  -- INDEX 437
1549
        B"10110001" when B"0110110110",  -- INDEX 438
1550
        B"10010001" when B"0110110111",  -- INDEX 439
1551
        B"10000001" when B"0110111000",  -- INDEX 440
1552
        B"10000100" when B"0110111001",  -- INDEX 441
1553
        B"10011010" when B"0110111010",  -- INDEX 442
1554
        B"11000000" when B"0110111011",  -- INDEX 443
1555
        B"11101111" when B"0110111100",  -- INDEX 444
1556
        B"00100000" when B"0110111101",  -- INDEX 445
1557
        B"01001101" when B"0110111110",  -- INDEX 446
1558
        B"01101110" when B"0110111111",  -- INDEX 447
1559
        B"01111111" when B"0111000000",  -- INDEX 448
1560
        B"01111100" when B"0111000001",  -- INDEX 449
1561
        B"01100111" when B"0111000010",  -- INDEX 450
1562
        B"01000010" when B"0111000011",  -- INDEX 451
1563
        B"00010011" when B"0111000100",  -- INDEX 452
1564
        B"11100010" when B"0111000101",  -- INDEX 453
1565
        B"10110101" when B"0111000110",  -- INDEX 454
1566
        B"10010011" when B"0111000111",  -- INDEX 455
1567
        B"10000010" when B"0111001000",  -- INDEX 456
1568
        B"10000011" when B"0111001001",  -- INDEX 457
1569
        B"10011000" when B"0111001010",  -- INDEX 458
1570
        B"10111100" when B"0111001011",  -- INDEX 459
1571
        B"11101011" when B"0111001100",  -- INDEX 460
1572
        B"00011101" when B"0111001101",  -- INDEX 461
1573
        B"01001010" when B"0111001110",  -- INDEX 462
1574
        B"01101100" when B"0111001111",  -- INDEX 463
1575
        B"01111110" when B"0111010000",  -- INDEX 464
1576
        B"01111101" when B"0111010001",  -- INDEX 465
1577
        B"01101001" when B"0111010010",  -- INDEX 466
1578
        B"01000101" when B"0111010011",  -- INDEX 467
1579
        B"00010111" when B"0111010100",  -- INDEX 468
1580
        B"11100101" when B"0111010101",  -- INDEX 469
1581
        B"10110111" when B"0111010110",  -- INDEX 470
1582
        B"10010101" when B"0111010111",  -- INDEX 471
1583
        B"10000010" when B"0111011000",  -- INDEX 472
1584
        B"10000011" when B"0111011001",  -- INDEX 473
1585
        B"10010110" when B"0111011010",  -- INDEX 474
1586
        B"10111010" when B"0111011011",  -- INDEX 475
1587
        B"11101000" when B"0111011100",  -- INDEX 476
1588
        B"00011010" when B"0111011101",  -- INDEX 477
1589
        B"01001000" when B"0111011110",  -- INDEX 478
1590
        B"01101011" when B"0111011111",  -- INDEX 479
1591
        B"01111110" when B"0111100000",  -- INDEX 480
1592
        B"01111101" when B"0111100001",  -- INDEX 481
1593
        B"01101010" when B"0111100010",  -- INDEX 482
1594
        B"01000111" when B"0111100011",  -- INDEX 483
1595
        B"00011001" when B"0111100100",  -- INDEX 484
1596
        B"11100111" when B"0111100101",  -- INDEX 485
1597
        B"10111001" when B"0111100110",  -- INDEX 486
1598
        B"10010101" when B"0111100111",  -- INDEX 487
1599
        B"10000010" when B"0111101000",  -- INDEX 488
1600
        B"10000010" when B"0111101001",  -- INDEX 489
1601
        B"10010110" when B"0111101010",  -- INDEX 490
1602
        B"10111001" when B"0111101011",  -- INDEX 491
1603
        B"11100111" when B"0111101100",  -- INDEX 492
1604
        B"00011001" when B"0111101101",  -- INDEX 493
1605
        B"01000111" when B"0111101110",  -- INDEX 494
1606
        B"01101010" when B"0111101111",  -- INDEX 495
1607
        B"01111110" when B"0111110000",  -- INDEX 496
1608
        B"01111110" when B"0111110001",  -- INDEX 497
1609
        B"01101011" when B"0111110010",  -- INDEX 498
1610
        B"01000111" when B"0111110011",  -- INDEX 499
1611
        B"00011001" when B"0111110100",  -- INDEX 500
1612
        B"11100111" when B"0111110101",  -- INDEX 501
1613
        B"10111001" when B"0111110110",  -- INDEX 502
1614
        B"10010110" when B"0111110111",  -- INDEX 503
1615
        B"10000011" when B"0111111000",  -- INDEX 504
1616
        B"10000010" when B"0111111001",  -- INDEX 505
1617
        B"10010101" when B"0111111010",  -- INDEX 506
1618
        B"10111001" when B"0111111011",  -- INDEX 507
1619
        B"11100111" when B"0111111100",  -- INDEX 508
1620
        B"00011001" when B"0111111101",  -- INDEX 509
1621
        B"01000111" when B"0111111110",  -- INDEX 510
1622
        B"01101010" when B"0111111111",  -- INDEX 511
1623
        B"01111110" when B"1000000000",  -- INDEX 512
1624
        B"01111110" when B"1000000001",  -- INDEX 513
1625
        B"01101010" when B"1000000010",  -- INDEX 514
1626
        B"01000111" when B"1000000011",  -- INDEX 515
1627
        B"00011001" when B"1000000100",  -- INDEX 516
1628
        B"11100111" when B"1000000101",  -- INDEX 517
1629
        B"10111000" when B"1000000110",  -- INDEX 518
1630
        B"10010101" when B"1000000111",  -- INDEX 519
1631
        B"10000010" when B"1000001000",  -- INDEX 520
1632
        B"10000011" when B"1000001001",  -- INDEX 521
1633
        B"10010110" when B"1000001010",  -- INDEX 522
1634
        B"10111010" when B"1000001011",  -- INDEX 523
1635
        B"11101000" when B"1000001100",  -- INDEX 524
1636
        B"00011010" when B"1000001101",  -- INDEX 525
1637
        B"01001000" when B"1000001110",  -- INDEX 526
1638
        B"01101011" when B"1000001111",  -- INDEX 527
1639
        B"01111110" when B"1000010000",  -- INDEX 528
1640
        B"01111101" when B"1000010001",  -- INDEX 529
1641
        B"01101001" when B"1000010010",  -- INDEX 530
1642
        B"01000101" when B"1000010011",  -- INDEX 531
1643
        B"00010111" when B"1000010100",  -- INDEX 532
1644
        B"11100101" when B"1000010101",  -- INDEX 533
1645
        B"10110111" when B"1000010110",  -- INDEX 534
1646
        B"10010100" when B"1000010111",  -- INDEX 535
1647
        B"10000010" when B"1000011000",  -- INDEX 536
1648
        B"10000011" when B"1000011001",  -- INDEX 537
1649
        B"10010111" when B"1000011010",  -- INDEX 538
1650
        B"10111100" when B"1000011011",  -- INDEX 539
1651
        B"11101011" when B"1000011100",  -- INDEX 540
1652
        B"00011101" when B"1000011101",  -- INDEX 541
1653
        B"01001010" when B"1000011110",  -- INDEX 542
1654
        B"01101101" when B"1000011111",  -- INDEX 543
1655
        B"01111110" when B"1000100000",  -- INDEX 544
1656
        B"01111101" when B"1000100001",  -- INDEX 545
1657
        B"01101000" when B"1000100010",  -- INDEX 546
1658
        B"01000011" when B"1000100011",  -- INDEX 547
1659
        B"00010100" when B"1000100100",  -- INDEX 548
1660
        B"11100010" when B"1000100101",  -- INDEX 549
1661
        B"10110100" when B"1000100110",  -- INDEX 550
1662
        B"10010010" when B"1000100111",  -- INDEX 551
1663
        B"10000001" when B"1000101000",  -- INDEX 552
1664
        B"10000100" when B"1000101001",  -- INDEX 553
1665
        B"10011010" when B"1000101010",  -- INDEX 554
1666
        B"10111111" when B"1000101011",  -- INDEX 555
1667
        B"11101110" when B"1000101100",  -- INDEX 556
1668
        B"00100001" when B"1000101101",  -- INDEX 557
1669
        B"01001110" when B"1000101110",  -- INDEX 558
1670
        B"01101111" when B"1000101111",  -- INDEX 559
1671
        B"01111111" when B"1000110000",  -- INDEX 560
1672
        B"01111011" when B"1000110001",  -- INDEX 561
1673
        B"01100101" when B"1000110010",  -- INDEX 562
1674
        B"00111111" when B"1000110011",  -- INDEX 563
1675
        B"00001111" when B"1000110100",  -- INDEX 564
1676
        B"11011101" when B"1000110101",  -- INDEX 565
1677
        B"10110000" when B"1000110110",  -- INDEX 566
1678
        B"10010000" when B"1000110111",  -- INDEX 567
1679
        B"10000001" when B"1000111000",  -- INDEX 568
1680
        B"10000101" when B"1000111001",  -- INDEX 569
1681
        B"10011101" when B"1000111010",  -- INDEX 570
1682
        B"11000011" when B"1000111011",  -- INDEX 571
1683
        B"11110011" when B"1000111100",  -- INDEX 572
1684
        B"00100110" when B"1000111101",  -- INDEX 573
1685
        B"01010010" when B"1000111110",  -- INDEX 574
1686
        B"01110001" when B"1000111111",  -- INDEX 575
1687
        B"01111111" when B"1001000000",  -- INDEX 576
1688
        B"01111010" when B"1001000001",  -- INDEX 577
1689
        B"01100001" when B"1001000010",  -- INDEX 578
1690
        B"00111010" when B"1001000011",  -- INDEX 579
1691
        B"00001001" when B"1001000100",  -- INDEX 580
1692
        B"11011000" when B"1001000101",  -- INDEX 581
1693
        B"10101100" when B"1001000110",  -- INDEX 582
1694
        B"10001101" when B"1001000111",  -- INDEX 583
1695
        B"10000000" when B"1001001000",  -- INDEX 584
1696
        B"10000111" when B"1001001001",  -- INDEX 585
1697
        B"10100001" when B"1001001010",  -- INDEX 586
1698
        B"11001001" when B"1001001011",  -- INDEX 587
1699
        B"11111010" when B"1001001100",  -- INDEX 588
1700
        B"00101100" when B"1001001101",  -- INDEX 589
1701
        B"01010111" when B"1001001110",  -- INDEX 590
1702
        B"01110100" when B"1001001111",  -- INDEX 591
1703
        B"01111111" when B"1001010000",  -- INDEX 592
1704
        B"01111000" when B"1001010001",  -- INDEX 593
1705
        B"01011101" when B"1001010010",  -- INDEX 594
1706
        B"00110100" when B"1001010011",  -- INDEX 595
1707
        B"00000010" when B"1001010100",  -- INDEX 596
1708
        B"11010001" when B"1001010101",  -- INDEX 597
1709
        B"10100111" when B"1001010110",  -- INDEX 598
1710
        B"10001010" when B"1001010111",  -- INDEX 599
1711
        B"10000000" when B"1001011000",  -- INDEX 600
1712
        B"10001010" when B"1001011001",  -- INDEX 601
1713
        B"10100110" when B"1001011010",  -- INDEX 602
1714
        B"11010000" when B"1001011011",  -- INDEX 603
1715
        B"00000001" when B"1001011100",  -- INDEX 604
1716
        B"00110011" when B"1001011101",  -- INDEX 605
1717
        B"01011100" when B"1001011110",  -- INDEX 606
1718
        B"01110111" when B"1001011111",  -- INDEX 607
1719
        B"01111111" when B"1001100000",  -- INDEX 608
1720
        B"01110101" when B"1001100001",  -- INDEX 609
1721
        B"01010111" when B"1001100010",  -- INDEX 610
1722
        B"00101101" when B"1001100011",  -- INDEX 611
1723
        B"11111011" when B"1001100100",  -- INDEX 612
1724
        B"11001010" when B"1001100101",  -- INDEX 613
1725
        B"10100001" when B"1001100110",  -- INDEX 614
1726
        B"10000111" when B"1001100111",  -- INDEX 615
1727
        B"10000000" when B"1001101000",  -- INDEX 616
1728
        B"10001101" when B"1001101001",  -- INDEX 617
1729
        B"10101011" when B"1001101010",  -- INDEX 618
1730
        B"11010111" when B"1001101011",  -- INDEX 619
1731
        B"00001001" when B"1001101100",  -- INDEX 620
1732
        B"00111001" when B"1001101101",  -- INDEX 621
1733
        B"01100001" when B"1001101110",  -- INDEX 622
1734
        B"01111010" when B"1001101111",  -- INDEX 623
1735
        B"01111111" when B"1001110000",  -- INDEX 624
1736
        B"01110010" when B"1001110001",  -- INDEX 625
1737
        B"01010010" when B"1001110010",  -- INDEX 626
1738
        B"00100110" when B"1001110011",  -- INDEX 627
1739
        B"11110100" when B"1001110100",  -- INDEX 628
1740
        B"11000100" when B"1001110101",  -- INDEX 629
1741
        B"10011101" when B"1001110110",  -- INDEX 630
1742
        B"10000101" when B"1001110111",  -- INDEX 631
1743
        B"10000001" when B"1001111000",  -- INDEX 632
1744
        B"10010000" when B"1001111001",  -- INDEX 633
1745
        B"10110000" when B"1001111010",  -- INDEX 634
1746
        B"11011100" when B"1001111011",  -- INDEX 635
1747
        B"00001110" when B"1001111100",  -- INDEX 636
1748
        B"00111110" when B"1001111101",  -- INDEX 637
1749
        B"01100101" when B"1001111110",  -- INDEX 638
1750
        B"01111011" when B"1001111111",  -- INDEX 639
1751
        B"01111111" when B"1010000000",  -- INDEX 640
1752
        B"01101111" when B"1010000001",  -- INDEX 641
1753
        B"01001110" when B"1010000010",  -- INDEX 642
1754
        B"00100001" when B"1010000011",  -- INDEX 643
1755
        B"11101111" when B"1010000100",  -- INDEX 644
1756
        B"11000000" when B"1010000101",  -- INDEX 645
1757
        B"10011010" when B"1010000110",  -- INDEX 646
1758
        B"10000100" when B"1010000111",  -- INDEX 647
1759
        B"10000001" when B"1010001000",  -- INDEX 648
1760
        B"10010010" when B"1010001001",  -- INDEX 649
1761
        B"10110011" when B"1010001010",  -- INDEX 650
1762
        B"11100001" when B"1010001011",  -- INDEX 651
1763
        B"00010011" when B"1010001100",  -- INDEX 652
1764
        B"01000010" when B"1010001101",  -- INDEX 653
1765
        B"01100111" when B"1010001110",  -- INDEX 654
1766
        B"01111100" when B"1010001111",  -- INDEX 655
1767
        B"01111110" when B"1010010000",  -- INDEX 656
1768
        B"01101101" when B"1010010001",  -- INDEX 657
1769
        B"01001011" when B"1010010010",  -- INDEX 658
1770
        B"00011101" when B"1010010011",  -- INDEX 659
1771
        B"11101011" when B"1010010100",  -- INDEX 660
1772
        B"10111100" when B"1010010101",  -- INDEX 661
1773
        B"10011000" when B"1010010110",  -- INDEX 662
1774
        B"10000011" when B"1010010111",  -- INDEX 663
1775
        B"10000010" when B"1010011000",  -- INDEX 664
1776
        B"10010100" when B"1010011001",  -- INDEX 665
1777
        B"10110110" when B"1010011010",  -- INDEX 666
1778
        B"11100100" when B"1010011011",  -- INDEX 667
1779
        B"00010110" when B"1010011100",  -- INDEX 668
1780
        B"01000101" when B"1010011101",  -- INDEX 669
1781
        B"01101001" when B"1010011110",  -- INDEX 670
1782
        B"01111101" when B"1010011111",  -- INDEX 671
1783
        B"01111110" when B"1010100000",  -- INDEX 672
1784
        B"01101100" when B"1010100001",  -- INDEX 673
1785
        B"01001001" when B"1010100010",  -- INDEX 674
1786
        B"00011011" when B"1010100011",  -- INDEX 675
1787
        B"11101001" when B"1010100100",  -- INDEX 676
1788
        B"10111010" when B"1010100101",  -- INDEX 677
1789
        B"10010110" when B"1010100110",  -- INDEX 678
1790
        B"10000011" when B"1010100111",  -- INDEX 679
1791
        B"10000010" when B"1010101000",  -- INDEX 680
1792
        B"10010101" when B"1010101001",  -- INDEX 681
1793
        B"10111000" when B"1010101010",  -- INDEX 682
1794
        B"11100110" when B"1010101011",  -- INDEX 683
1795
        B"00011000" when B"1010101100",  -- INDEX 684
1796
        B"01000110" when B"1010101101",  -- INDEX 685
1797
        B"01101010" when B"1010101110",  -- INDEX 686
1798
        B"01111101" when B"1010101111",  -- INDEX 687
1799
        B"01111110" when B"1010110000",  -- INDEX 688
1800
        B"01101011" when B"1010110001",  -- INDEX 689
1801
        B"01001000" when B"1010110010",  -- INDEX 690
1802
        B"00011001" when B"1010110011",  -- INDEX 691
1803
        B"11100111" when B"1010110100",  -- INDEX 692
1804
        B"10111001" when B"1010110101",  -- INDEX 693
1805
        B"10010110" when B"1010110110",  -- INDEX 694
1806
        B"10000011" when B"1010110111",  -- INDEX 695
1807
        B"10000010" when B"1010111000",  -- INDEX 696
1808
        B"10010101" when B"1010111001",  -- INDEX 697
1809
        B"10111001" when B"1010111010",  -- INDEX 698
1810
        B"11100111" when B"1010111011",  -- INDEX 699
1811
        B"00011001" when B"1010111100",  -- INDEX 700
1812
        B"01000111" when B"1010111101",  -- INDEX 701
1813
        B"01101010" when B"1010111110",  -- INDEX 702
1814
        B"01111101" when B"1010111111",  -- INDEX 703
1815
        B"01111110" when B"1011000000",  -- INDEX 704
1816
        B"01101011" when B"1011000001",  -- INDEX 705
1817
        B"01000111" when B"1011000010",  -- INDEX 706
1818
        B"00011001" when B"1011000011",  -- INDEX 707
1819
        B"11100111" when B"1011000100",  -- INDEX 708
1820
        B"10111001" when B"1011000101",  -- INDEX 709
1821
        B"10010110" when B"1011000110",  -- INDEX 710
1822
        B"10000011" when B"1011000111",  -- INDEX 711
1823
        B"10000010" when B"1011001000",  -- INDEX 712
1824
        B"10010101" when B"1011001001",  -- INDEX 713
1825
        B"10111000" when B"1011001010",  -- INDEX 714
1826
        B"11100110" when B"1011001011",  -- INDEX 715
1827
        B"00011000" when B"1011001100",  -- INDEX 716
1828
        B"01000110" when B"1011001101",  -- INDEX 717
1829
        B"01101010" when B"1011001110",  -- INDEX 718
1830
        B"01111101" when B"1011001111",  -- INDEX 719
1831
        B"01111110" when B"1011010000",  -- INDEX 720
1832
        B"01101011" when B"1011010001",  -- INDEX 721
1833
        B"01001000" when B"1011010010",  -- INDEX 722
1834
        B"00011010" when B"1011010011",  -- INDEX 723
1835
        B"11101001" when B"1011010100",  -- INDEX 724
1836
        B"10111010" when B"1011010101",  -- INDEX 725
1837
        B"10010111" when B"1011010110",  -- INDEX 726
1838
        B"10000011" when B"1011010111",  -- INDEX 727
1839
        B"10000010" when B"1011011000",  -- INDEX 728
1840
        B"10010100" when B"1011011001",  -- INDEX 729
1841
        B"10110111" when B"1011011010",  -- INDEX 730
1842
        B"11100100" when B"1011011011",  -- INDEX 731
1843
        B"00010110" when B"1011011100",  -- INDEX 732
1844
        B"01000101" when B"1011011101",  -- INDEX 733
1845
        B"01101001" when B"1011011110",  -- INDEX 734
1846
        B"01111101" when B"1011011111",  -- INDEX 735
1847
        B"01111110" when B"1011100000",  -- INDEX 736
1848
        B"01101100" when B"1011100001",  -- INDEX 737
1849
        B"01001010" when B"1011100010",  -- INDEX 738
1850
        B"00011101" when B"1011100011",  -- INDEX 739
1851
        B"11101011" when B"1011100100",  -- INDEX 740
1852
        B"10111101" when B"1011100101",  -- INDEX 741
1853
        B"10011000" when B"1011100110",  -- INDEX 742
1854
        B"10000011" when B"1011100111",  -- INDEX 743
1855
        B"10000010" when B"1011101000",  -- INDEX 744
1856
        B"10010011" when B"1011101001",  -- INDEX 745
1857
        B"10110100" when B"1011101010",  -- INDEX 746
1858
        B"11100001" when B"1011101011",  -- INDEX 747
1859
        B"00010011" when B"1011101100",  -- INDEX 748
1860
        B"01000010" when B"1011101101",  -- INDEX 749
1861
        B"01100111" when B"1011101110",  -- INDEX 750
1862
        B"01111100" when B"1011101111",  -- INDEX 751
1863
        B"01111111" when B"1011110000",  -- INDEX 752
1864
        B"01101110" when B"1011110001",  -- INDEX 753
1865
        B"01001101" when B"1011110010",  -- INDEX 754
1866
        B"00100000" when B"1011110011",  -- INDEX 755
1867
        B"11101111" when B"1011110100",  -- INDEX 756
1868
        B"11000000" when B"1011110101",  -- INDEX 757
1869
        B"10011011" when B"1011110110",  -- INDEX 758
1870
        B"10000100" when B"1011110111",  -- INDEX 759
1871
        B"10000001" when B"1011111000",  -- INDEX 760
1872
        B"10010001" when B"1011111001",  -- INDEX 761
1873
        B"10110001" when B"1011111010",  -- INDEX 762
1874
        B"11011101" when B"1011111011",  -- INDEX 763
1875
        B"00001111" when B"1011111100",  -- INDEX 764
1876
        B"00111110" when B"1011111101",  -- INDEX 765
1877
        B"01100100" when B"1011111110",  -- INDEX 766
1878
        B"01111011" when B"1011111111",  -- INDEX 767
1879
        B"01111111" when B"1100000000",  -- INDEX 768
1880
        B"01110001" when B"1100000001",  -- INDEX 769
1881
        B"01010001" when B"1100000010",  -- INDEX 770
1882
        B"00100101" when B"1100000011",  -- INDEX 771
1883
        B"11110100" when B"1100000100",  -- INDEX 772
1884
        B"11000100" when B"1100000101",  -- INDEX 773
1885
        B"10011110" when B"1100000110",  -- INDEX 774
1886
        B"10000110" when B"1100000111",  -- INDEX 775
1887
        B"10000000" when B"1100001000",  -- INDEX 776
1888
        B"10001110" when B"1100001001",  -- INDEX 777
1889
        B"10101101" when B"1100001010",  -- INDEX 778
1890
        B"11011000" when B"1100001011",  -- INDEX 779
1891
        B"00001001" when B"1100001100",  -- INDEX 780
1892
        B"00111001" when B"1100001101",  -- INDEX 781
1893
        B"01100000" when B"1100001110",  -- INDEX 782
1894
        B"01111001" when B"1100001111",  -- INDEX 783
1895
        B"01111111" when B"1100010000",  -- INDEX 784
1896
        B"01110011" when B"1100010001",  -- INDEX 785
1897
        B"01010110" when B"1100010010",  -- INDEX 786
1898
        B"00101011" when B"1100010011",  -- INDEX 787
1899
        B"11111010" when B"1100010100",  -- INDEX 788
1900
        B"11001010" when B"1100010101",  -- INDEX 789
1901
        B"10100010" when B"1100010110",  -- INDEX 790
1902
        B"10001000" when B"1100010111",  -- INDEX 791
1903
        B"10000000" when B"1100011000",  -- INDEX 792
1904
        B"10001011" when B"1100011001",  -- INDEX 793
1905
        B"10101000" when B"1100011010",  -- INDEX 794
1906
        B"11010001" when B"1100011011",  -- INDEX 795
1907
        B"00000010" when B"1100011100",  -- INDEX 796
1908
        B"00110010" when B"1100011101",  -- INDEX 797
1909
        B"01011011" when B"1100011110",  -- INDEX 798
1910
        B"01110110" when B"1100011111",  -- INDEX 799
1911
        B"01111111" when B"1100100000",  -- INDEX 800
1912
        B"01110110" when B"1100100001",  -- INDEX 801
1913
        B"01011011" when B"1100100010",  -- INDEX 802
1914
        B"00110010" when B"1100100011",  -- INDEX 803
1915
        B"00000010" when B"1100100100",  -- INDEX 804
1916
        B"11010001" when B"1100100101",  -- INDEX 805
1917
        B"10101000" when B"1100100110",  -- INDEX 806
1918
        B"10001011" when B"1100100111",  -- INDEX 807
1919
        B"10000000" when B"1100101000",  -- INDEX 808
1920
        B"10001000" when B"1100101001",  -- INDEX 809
1921
        B"10100010" when B"1100101010",  -- INDEX 810
1922
        B"11001010" when B"1100101011",  -- INDEX 811
1923
        B"11111010" when B"1100101100",  -- INDEX 812
1924
        B"00101011" when B"1100101101",  -- INDEX 813
1925
        B"01010110" when B"1100101110",  -- INDEX 814
1926
        B"01110011" when B"1100101111",  -- INDEX 815
1927
        B"01111111" when B"1100110000",  -- INDEX 816
1928
        B"01111001" when B"1100110001",  -- INDEX 817
1929
        B"01100000" when B"1100110010",  -- INDEX 818
1930
        B"00111001" when B"1100110011",  -- INDEX 819
1931
        B"00001001" when B"1100110100",  -- INDEX 820
1932
        B"11011000" when B"1100110101",  -- INDEX 821
1933
        B"10101101" when B"1100110110",  -- INDEX 822
1934
        B"10001110" when B"1100110111",  -- INDEX 823
1935
        B"10000000" when B"1100111000",  -- INDEX 824
1936
        B"10000110" when B"1100111001",  -- INDEX 825
1937
        B"10011110" when B"1100111010",  -- INDEX 826
1938
        B"11000100" when B"1100111011",  -- INDEX 827
1939
        B"11110100" when B"1100111100",  -- INDEX 828
1940
        B"00100101" when B"1100111101",  -- INDEX 829
1941
        B"01010001" when B"1100111110",  -- INDEX 830
1942
        B"01110001" when B"1100111111",  -- INDEX 831
1943
        B"01111111" when B"1101000000",  -- INDEX 832
1944
        B"01111011" when B"1101000001",  -- INDEX 833
1945
        B"01100100" when B"1101000010",  -- INDEX 834
1946
        B"00111110" when B"1101000011",  -- INDEX 835
1947
        B"00001111" when B"1101000100",  -- INDEX 836
1948
        B"11011101" when B"1101000101",  -- INDEX 837
1949
        B"10110001" when B"1101000110",  -- INDEX 838
1950
        B"10010001" when B"1101000111",  -- INDEX 839
1951
        B"10000001" when B"1101001000",  -- INDEX 840
1952
        B"10000100" when B"1101001001",  -- INDEX 841
1953
        B"10011010" when B"1101001010",  -- INDEX 842
1954
        B"11000000" when B"1101001011",  -- INDEX 843
1955
        B"11101111" when B"1101001100",  -- INDEX 844
1956
        B"00100000" when B"1101001101",  -- INDEX 845
1957
        B"01001101" when B"1101001110",  -- INDEX 846
1958
        B"01101110" when B"1101001111",  -- INDEX 847
1959
        B"01111111" when B"1101010000",  -- INDEX 848
1960
        B"01111100" when B"1101010001",  -- INDEX 849
1961
        B"01100111" when B"1101010010",  -- INDEX 850
1962
        B"01000010" when B"1101010011",  -- INDEX 851
1963
        B"00010011" when B"1101010100",  -- INDEX 852
1964
        B"11100010" when B"1101010101",  -- INDEX 853
1965
        B"10110101" when B"1101010110",  -- INDEX 854
1966
        B"10010011" when B"1101010111",  -- INDEX 855
1967
        B"10000010" when B"1101011000",  -- INDEX 856
1968
        B"10000011" when B"1101011001",  -- INDEX 857
1969
        B"10011000" when B"1101011010",  -- INDEX 858
1970
        B"10111100" when B"1101011011",  -- INDEX 859
1971
        B"11101011" when B"1101011100",  -- INDEX 860
1972
        B"00011101" when B"1101011101",  -- INDEX 861
1973
        B"01001010" when B"1101011110",  -- INDEX 862
1974
        B"01101100" when B"1101011111",  -- INDEX 863
1975
        B"01111110" when B"1101100000",  -- INDEX 864
1976
        B"01111101" when B"1101100001",  -- INDEX 865
1977
        B"01101001" when B"1101100010",  -- INDEX 866
1978
        B"01000101" when B"1101100011",  -- INDEX 867
1979
        B"00010111" when B"1101100100",  -- INDEX 868
1980
        B"11100101" when B"1101100101",  -- INDEX 869
1981
        B"10110111" when B"1101100110",  -- INDEX 870
1982
        B"10010101" when B"1101100111",  -- INDEX 871
1983
        B"10000010" when B"1101101000",  -- INDEX 872
1984
        B"10000011" when B"1101101001",  -- INDEX 873
1985
        B"10010110" when B"1101101010",  -- INDEX 874
1986
        B"10111010" when B"1101101011",  -- INDEX 875
1987
        B"11101000" when B"1101101100",  -- INDEX 876
1988
        B"00011010" when B"1101101101",  -- INDEX 877
1989
        B"01001000" when B"1101101110",  -- INDEX 878
1990
        B"01101011" when B"1101101111",  -- INDEX 879
1991
        B"01111110" when B"1101110000",  -- INDEX 880
1992
        B"01111101" when B"1101110001",  -- INDEX 881
1993
        B"01101010" when B"1101110010",  -- INDEX 882
1994
        B"01000111" when B"1101110011",  -- INDEX 883
1995
        B"00011001" when B"1101110100",  -- INDEX 884
1996
        B"11100111" when B"1101110101",  -- INDEX 885
1997
        B"10111001" when B"1101110110",  -- INDEX 886
1998
        B"10010101" when B"1101110111",  -- INDEX 887
1999
        B"10000010" when B"1101111000",  -- INDEX 888
2000
        B"10000010" when B"1101111001",  -- INDEX 889
2001
        B"10010110" when B"1101111010",  -- INDEX 890
2002
        B"10111001" when B"1101111011",  -- INDEX 891
2003
        B"11100111" when B"1101111100",  -- INDEX 892
2004
        B"00011001" when B"1101111101",  -- INDEX 893
2005
        B"01000111" when B"1101111110",  -- INDEX 894
2006
        B"01101010" when B"1101111111",  -- INDEX 895
2007
        B"01111110" when B"1110000000",  -- INDEX 896
2008
        B"01111110" when B"1110000001",  -- INDEX 897
2009
        B"01101011" when B"1110000010",  -- INDEX 898
2010
        B"01000111" when B"1110000011",  -- INDEX 899
2011
        B"00011001" when B"1110000100",  -- INDEX 900
2012
        B"11100111" when B"1110000101",  -- INDEX 901
2013
        B"10111001" when B"1110000110",  -- INDEX 902
2014
        B"10010110" when B"1110000111",  -- INDEX 903
2015
        B"10000011" when B"1110001000",  -- INDEX 904
2016
        B"10000010" when B"1110001001",  -- INDEX 905
2017
        B"10010101" when B"1110001010",  -- INDEX 906
2018
        B"10111001" when B"1110001011",  -- INDEX 907
2019
        B"11100111" when B"1110001100",  -- INDEX 908
2020
        B"00011001" when B"1110001101",  -- INDEX 909
2021
        B"01000111" when B"1110001110",  -- INDEX 910
2022
        B"01101010" when B"1110001111",  -- INDEX 911
2023
        B"01111110" when B"1110010000",  -- INDEX 912
2024
        B"01111110" when B"1110010001",  -- INDEX 913
2025
        B"01101010" when B"1110010010",  -- INDEX 914
2026
        B"01000111" when B"1110010011",  -- INDEX 915
2027
        B"00011001" when B"1110010100",  -- INDEX 916
2028
        B"11100111" when B"1110010101",  -- INDEX 917
2029
        B"10111000" when B"1110010110",  -- INDEX 918
2030
        B"10010101" when B"1110010111",  -- INDEX 919
2031
        B"10000010" when B"1110011000",  -- INDEX 920
2032
        B"10000011" when B"1110011001",  -- INDEX 921
2033
        B"10010110" when B"1110011010",  -- INDEX 922
2034
        B"10111010" when B"1110011011",  -- INDEX 923
2035
        B"11101000" when B"1110011100",  -- INDEX 924
2036
        B"00011010" when B"1110011101",  -- INDEX 925
2037
        B"01001000" when B"1110011110",  -- INDEX 926
2038
        B"01101011" when B"1110011111",  -- INDEX 927
2039
        B"01111110" when B"1110100000",  -- INDEX 928
2040
        B"01111101" when B"1110100001",  -- INDEX 929
2041
        B"01101001" when B"1110100010",  -- INDEX 930
2042
        B"01000101" when B"1110100011",  -- INDEX 931
2043
        B"00010111" when B"1110100100",  -- INDEX 932
2044
        B"11100101" when B"1110100101",  -- INDEX 933
2045
        B"10110111" when B"1110100110",  -- INDEX 934
2046
        B"10010100" when B"1110100111",  -- INDEX 935
2047
        B"10000010" when B"1110101000",  -- INDEX 936
2048
        B"10000011" when B"1110101001",  -- INDEX 937
2049
        B"10010111" when B"1110101010",  -- INDEX 938
2050
        B"10111100" when B"1110101011",  -- INDEX 939
2051
        B"11101011" when B"1110101100",  -- INDEX 940
2052
        B"00011101" when B"1110101101",  -- INDEX 941
2053
        B"01001010" when B"1110101110",  -- INDEX 942
2054
        B"01101101" when B"1110101111",  -- INDEX 943
2055
        B"01111110" when B"1110110000",  -- INDEX 944
2056
        B"01111101" when B"1110110001",  -- INDEX 945
2057
        B"01101000" when B"1110110010",  -- INDEX 946
2058
        B"01000011" when B"1110110011",  -- INDEX 947
2059
        B"00010100" when B"1110110100",  -- INDEX 948
2060
        B"11100010" when B"1110110101",  -- INDEX 949
2061
        B"10110100" when B"1110110110",  -- INDEX 950
2062
        B"10010010" when B"1110110111",  -- INDEX 951
2063
        B"10000001" when B"1110111000",  -- INDEX 952
2064
        B"10000100" when B"1110111001",  -- INDEX 953
2065
        B"10011010" when B"1110111010",  -- INDEX 954
2066
        B"10111111" when B"1110111011",  -- INDEX 955
2067
        B"11101110" when B"1110111100",  -- INDEX 956
2068
        B"00100001" when B"1110111101",  -- INDEX 957
2069
        B"01001110" when B"1110111110",  -- INDEX 958
2070
        B"01101111" when B"1110111111",  -- INDEX 959
2071
        B"01111111" when B"1111000000",  -- INDEX 960
2072
        B"01111011" when B"1111000001",  -- INDEX 961
2073
        B"01100101" when B"1111000010",  -- INDEX 962
2074
        B"00111111" when B"1111000011",  -- INDEX 963
2075
        B"00001111" when B"1111000100",  -- INDEX 964
2076
        B"11011101" when B"1111000101",  -- INDEX 965
2077
        B"10110000" when B"1111000110",  -- INDEX 966
2078
        B"10010000" when B"1111000111",  -- INDEX 967
2079
        B"10000001" when B"1111001000",  -- INDEX 968
2080
        B"10000101" when B"1111001001",  -- INDEX 969
2081
        B"10011101" when B"1111001010",  -- INDEX 970
2082
        B"11000011" when B"1111001011",  -- INDEX 971
2083
        B"11110011" when B"1111001100",  -- INDEX 972
2084
        B"00100110" when B"1111001101",  -- INDEX 973
2085
        B"01010010" when B"1111001110",  -- INDEX 974
2086
        B"01110001" when B"1111001111",  -- INDEX 975
2087
        B"01111111" when B"1111010000",  -- INDEX 976
2088
        B"01111010" when B"1111010001",  -- INDEX 977
2089
        B"01100001" when B"1111010010",  -- INDEX 978
2090
        B"00111010" when B"1111010011",  -- INDEX 979
2091
        B"00001001" when B"1111010100",  -- INDEX 980
2092
        B"11011000" when B"1111010101",  -- INDEX 981
2093
        B"10101100" when B"1111010110",  -- INDEX 982
2094
        B"10001101" when B"1111010111",  -- INDEX 983
2095
        B"10000000" when B"1111011000",  -- INDEX 984
2096
        B"10000111" when B"1111011001",  -- INDEX 985
2097
        B"10100001" when B"1111011010",  -- INDEX 986
2098
        B"11001001" when B"1111011011",  -- INDEX 987
2099
        B"11111010" when B"1111011100",  -- INDEX 988
2100
        B"00101100" when B"1111011101",  -- INDEX 989
2101
        B"01010111" when B"1111011110",  -- INDEX 990
2102
        B"01110100" when B"1111011111",  -- INDEX 991
2103
        B"01111111" when B"1111100000",  -- INDEX 992
2104
        B"01111000" when B"1111100001",  -- INDEX 993
2105
        B"01011101" when B"1111100010",  -- INDEX 994
2106
        B"00110100" when B"1111100011",  -- INDEX 995
2107
        B"00000010" when B"1111100100",  -- INDEX 996
2108
        B"11010001" when B"1111100101",  -- INDEX 997
2109
        B"10100111" when B"1111100110",  -- INDEX 998
2110
        B"10001010" when B"1111100111",  -- INDEX 999
2111
 
2112
-- END INPUT FM SIGNAL
2113
        B"00000000" when others;
2114
 
2115
end input_data;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.