OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [bench_xil/] [input_fm_xil.vhdl] - Blame information for rev 46

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 arif_endro
-- ------------------------------------------------------------------------
2 41 arif_endro
-- Copyright (C) 2004 Arif Endro Nugroho
3 46 arif_endro
-- All rights reserved.
4 13 arif_endro
-- 
5 46 arif_endro
-- Redistribution and use in source and binary forms, with or without
6
-- modification, are permitted provided that the following conditions
7
-- are met:
8 13 arif_endro
-- 
9 46 arif_endro
-- 1. Redistributions of source code must retain the above copyright
10
--    notice, this list of conditions and the following disclaimer.
11
-- 2. Redistributions in binary form must reproduce the above copyright
12
--    notice, this list of conditions and the following disclaimer in the
13
--    documentation and/or other materials provided with the distribution.
14 13 arif_endro
-- 
15 46 arif_endro
-- THIS SOFTWARE IS PROVIDED BY ARIF ENDRO NUGROHO "AS IS" AND ANY EXPRESS
16
-- OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
17
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
18
-- DISCLAIMED. IN NO EVENT SHALL ARIF ENDRO NUGROHO BE LIABLE FOR ANY
19
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
20
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
21
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
22
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
23
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
24
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
25
-- POSSIBILITY OF SUCH DAMAGE.
26 13 arif_endro
-- 
27 46 arif_endro
-- End Of License.
28
-- ------------------------------------------------------------------------
29 2 arif_endro
 
30
library IEEE;
31
use IEEE.std_logic_1164.all;
32
 
33
entity input_fm is
34
   port (
35
   clock              : in  std_logic;
36
   clear              : in  std_logic;
37
   test_signal_fm     : out bit_vector (07 downto 0);
38
   test_signal_fmTri  : out bit_vector (07 downto 0)
39
   );
40
end input_fm;
41
 
42
architecture input_data of input_fm is
43
component adder_10bit
44
        port (
45
        addend_10bit          : in  bit_vector (09 downto 0);
46
        augend_10bit          : in  bit_vector (09 downto 0);
47
        adder10_output        : out bit_vector (10 downto 0)
48
        );
49
end component;
50
 
51
signal test_signal_fm_int    : bit_vector (07 downto 0);
52
signal test_signal_fmTri_int : bit_vector (07 downto 0);
53
signal counter               : bit_vector (09 downto 0);
54
signal counter_tmp           : bit_vector (10 downto 0);
55
signal one_increment         : bit_vector (09 downto 0);
56
begin
57
 
58
 
59
    one_increment (00) <= '1';
60
    one_increment (01) <= '0';
61
    one_increment (02) <= '0';
62
    one_increment (03) <= '0';
63
    one_increment (04) <= '0';
64
    one_increment (05) <= '0';
65
    one_increment (06) <= '0';
66
    one_increment (07) <= '0';
67
    one_increment (08) <= '0';
68
    one_increment (09) <= '0';
69
 
70
counter_one : adder_10bit
71
    port map (
72
    addend_10bit   => counter,
73
    augend_10bit   => one_increment,
74
    adder10_output => counter_tmp
75
    );
76
 
77
process (clock, clear)
78
begin
79
    if (((clock = '1') and (not( clear = '1'))) and clock'event) then
80
                counter(09 downto 0) <= counter_tmp(09 downto 0);
81
                test_signal_fm    <= test_signal_fm_int;
82
                test_signal_fmTri <= test_signal_fmTri_int;
83
    elsif (clear = '1') then
84
                counter           <= (others => '0');
85
                test_signal_fm    <= (others => '0');
86
                test_signal_fmTri <= (others => '0');
87
    end if;
88
end process;
89
 
90
        with counter (09 downto 0) select
91
        test_signal_fm_int <=
92
-- START INPUT FM SIGNAL
93
 
94
        B"01111111" when B"0000000000",  -- INDEX 0
95
        B"01110110" when B"0000000001",  -- INDEX 1
96
        B"01011010" when B"0000000010",  -- INDEX 2
97
        B"00110000" when B"0000000011",  -- INDEX 3
98
        B"11111110" when B"0000000100",  -- INDEX 4
99
        B"11001101" when B"0000000101",  -- INDEX 5
100
        B"10100011" when B"0000000110",  -- INDEX 6
101
        B"10001000" when B"0000000111",  -- INDEX 7
102
        B"10000000" when B"0000001000",  -- INDEX 8
103
        B"10001100" when B"0000001001",  -- INDEX 9
104
        B"10101001" when B"0000001010",  -- INDEX 10
105
        B"11010100" when B"0000001011",  -- INDEX 11
106
        B"00000110" when B"0000001100",  -- INDEX 12
107
        B"00110111" when B"0000001101",  -- INDEX 13
108
        B"01011111" when B"0000001110",  -- INDEX 14
109
        B"01111001" when B"0000001111",  -- INDEX 15
110
        B"01111111" when B"0000010000",  -- INDEX 16
111
        B"01110011" when B"0000010001",  -- INDEX 17
112
        B"01010100" when B"0000010010",  -- INDEX 18
113
        B"00101000" when B"0000010011",  -- INDEX 19
114
        B"11110110" when B"0000010100",  -- INDEX 20
115
        B"11000101" when B"0000010101",  -- INDEX 21
116
        B"10011110" when B"0000010110",  -- INDEX 22
117
        B"10000110" when B"0000010111",  -- INDEX 23
118
        B"10000001" when B"0000011000",  -- INDEX 24
119
        B"10001111" when B"0000011001",  -- INDEX 25
120
        B"10101111" when B"0000011010",  -- INDEX 26
121
        B"11011100" when B"0000011011",  -- INDEX 27
122
        B"00001110" when B"0000011100",  -- INDEX 28
123
        B"00111110" when B"0000011101",  -- INDEX 29
124
        B"01100101" when B"0000011110",  -- INDEX 30
125
        B"01111011" when B"0000011111",  -- INDEX 31
126
        B"01111111" when B"0000100000",  -- INDEX 32
127
        B"01101111" when B"0000100001",  -- INDEX 33
128
        B"01001110" when B"0000100010",  -- INDEX 34
129
        B"00100000" when B"0000100011",  -- INDEX 35
130
        B"11101110" when B"0000100100",  -- INDEX 36
131
        B"10111110" when B"0000100101",  -- INDEX 37
132
        B"10011001" when B"0000100110",  -- INDEX 38
133
        B"10000100" when B"0000100111",  -- INDEX 39
134
        B"10000010" when B"0000101000",  -- INDEX 40
135
        B"10010011" when B"0000101001",  -- INDEX 41
136
        B"10110110" when B"0000101010",  -- INDEX 42
137
        B"11100100" when B"0000101011",  -- INDEX 43
138
        B"00010110" when B"0000101100",  -- INDEX 44
139
        B"01000101" when B"0000101101",  -- INDEX 45
140
        B"01101001" when B"0000101110",  -- INDEX 46
141
        B"01111101" when B"0000101111",  -- INDEX 47
142
        B"01111110" when B"0000110000",  -- INDEX 48
143
        B"01101011" when B"0000110001",  -- INDEX 49
144
        B"01000111" when B"0000110010",  -- INDEX 50
145
        B"00011000" when B"0000110011",  -- INDEX 51
146
        B"11100110" when B"0000110100",  -- INDEX 52
147
        B"10111000" when B"0000110101",  -- INDEX 53
148
        B"10010100" when B"0000110110",  -- INDEX 54
149
        B"10000010" when B"0000110111",  -- INDEX 55
150
        B"10000011" when B"0000111000",  -- INDEX 56
151
        B"10011000" when B"0000111001",  -- INDEX 57
152
        B"10111100" when B"0000111010",  -- INDEX 58
153
        B"11101011" when B"0000111011",  -- INDEX 59
154
        B"00011110" when B"0000111100",  -- INDEX 60
155
        B"01001100" when B"0000111101",  -- INDEX 61
156
        B"01101110" when B"0000111110",  -- INDEX 62
157
        B"01111111" when B"0000111111",  -- INDEX 63
158
        B"01111100" when B"0001000000",  -- INDEX 64
159
        B"01100110" when B"0001000001",  -- INDEX 65
160
        B"01000000" when B"0001000010",  -- INDEX 66
161
        B"00010001" when B"0001000011",  -- INDEX 67
162
        B"11011110" when B"0001000100",  -- INDEX 68
163
        B"10110001" when B"0001000101",  -- INDEX 69
164
        B"10010000" when B"0001000110",  -- INDEX 70
165
        B"10000001" when B"0001000111",  -- INDEX 71
166
        B"10000101" when B"0001001000",  -- INDEX 72
167
        B"10011100" when B"0001001001",  -- INDEX 73
168
        B"11000011" when B"0001001010",  -- INDEX 74
169
        B"11110011" when B"0001001011",  -- INDEX 75
170
        B"00100110" when B"0001001100",  -- INDEX 76
171
        B"01010010" when B"0001001101",  -- INDEX 77
172
        B"01110010" when B"0001001110",  -- INDEX 78
173
        B"01111111" when B"0001001111",  -- INDEX 79
174
        B"01111010" when B"0001010000",  -- INDEX 80
175
        B"01100001" when B"0001010001",  -- INDEX 81
176
        B"00111001" when B"0001010010",  -- INDEX 82
177
        B"00001001" when B"0001010011",  -- INDEX 83
178
        B"11010111" when B"0001010100",  -- INDEX 84
179
        B"10101011" when B"0001010101",  -- INDEX 85
180
        B"10001101" when B"0001010110",  -- INDEX 86
181
        B"10000000" when B"0001010111",  -- INDEX 87
182
        B"10001000" when B"0001011000",  -- INDEX 88
183
        B"10100010" when B"0001011001",  -- INDEX 89
184
        B"11001010" when B"0001011010",  -- INDEX 90
185
        B"11111011" when B"0001011011",  -- INDEX 91
186
        B"00101101" when B"0001011100",  -- INDEX 92
187
        B"01011000" when B"0001011101",  -- INDEX 93
188
        B"01110101" when B"0001011110",  -- INDEX 94
189
        B"01111111" when B"0001011111",  -- INDEX 95
190
        B"01110111" when B"0001100000",  -- INDEX 96
191
        B"01011100" when B"0001100001",  -- INDEX 97
192
        B"00110010" when B"0001100010",  -- INDEX 98
193
        B"00000001" when B"0001100011",  -- INDEX 99
194
        B"11001111" when B"0001100100",  -- INDEX 100
195
        B"10100101" when B"0001100101",  -- INDEX 101
196
        B"10001001" when B"0001100110",  -- INDEX 102
197
        B"10000000" when B"0001100111",  -- INDEX 103
198
        B"10001011" when B"0001101000",  -- INDEX 104
199
        B"10100111" when B"0001101001",  -- INDEX 105
200
        B"11010010" when B"0001101010",  -- INDEX 106
201
        B"00000100" when B"0001101011",  -- INDEX 107
202
        B"00110101" when B"0001101100",  -- INDEX 108
203
        B"01011110" when B"0001101101",  -- INDEX 109
204
        B"01111000" when B"0001101110",  -- INDEX 110
205
        B"01111111" when B"0001101111",  -- INDEX 111
206
        B"01110100" when B"0001110000",  -- INDEX 112
207
        B"01010110" when B"0001110001",  -- INDEX 113
208
        B"00101010" when B"0001110010",  -- INDEX 114
209
        B"11111000" when B"0001110011",  -- INDEX 115
210
        B"11001000" when B"0001110100",  -- INDEX 116
211
        B"10100000" when B"0001110101",  -- INDEX 117
212
        B"10000111" when B"0001110110",  -- INDEX 118
213
        B"10000000" when B"0001110111",  -- INDEX 119
214
        B"10001110" when B"0001111000",  -- INDEX 120
215
        B"10101101" when B"0001111001",  -- INDEX 121
216
        B"11011001" when B"0001111010",  -- INDEX 122
217
        B"00001100" when B"0001111011",  -- INDEX 123
218
        B"00111100" when B"0001111100",  -- INDEX 124
219
        B"01100011" when B"0001111101",  -- INDEX 125
220
        B"01111011" when B"0001111110",  -- INDEX 126
221
        B"01111111" when B"0001111111",  -- INDEX 127
222
        B"01110000" when B"0010000000",  -- INDEX 128
223
        B"01010000" when B"0010000001",  -- INDEX 129
224
        B"00100011" when B"0010000010",  -- INDEX 130
225
        B"11110000" when B"0010000011",  -- INDEX 131
226
        B"11000001" when B"0010000100",  -- INDEX 132
227
        B"10011011" when B"0010000101",  -- INDEX 133
228
        B"10000100" when B"0010000110",  -- INDEX 134
229
        B"10000001" when B"0010000111",  -- INDEX 135
230
        B"10010010" when B"0010001000",  -- INDEX 136
231
        B"10110100" when B"0010001001",  -- INDEX 137
232
        B"11100001" when B"0010001010",  -- INDEX 138
233
        B"00010100" when B"0010001011",  -- INDEX 139
234
        B"01000011" when B"0010001100",  -- INDEX 140
235
        B"01101000" when B"0010001101",  -- INDEX 141
236
        B"01111101" when B"0010001110",  -- INDEX 142
237
        B"01111110" when B"0010001111",  -- INDEX 143
238
        B"01101100" when B"0010010000",  -- INDEX 144
239
        B"01001001" when B"0010010001",  -- INDEX 145
240
        B"00011011" when B"0010010010",  -- INDEX 146
241
        B"11101001" when B"0010010011",  -- INDEX 147
242
        B"10111010" when B"0010010100",  -- INDEX 148
243
        B"10010110" when B"0010010101",  -- INDEX 149
244
        B"10000010" when B"0010010110",  -- INDEX 150
245
        B"10000011" when B"0010010111",  -- INDEX 151
246
        B"10010110" when B"0010011000",  -- INDEX 152
247
        B"10111010" when B"0010011001",  -- INDEX 153
248
        B"11101001" when B"0010011010",  -- INDEX 154
249
        B"00011011" when B"0010011011",  -- INDEX 155
250
        B"01001010" when B"0010011100",  -- INDEX 156
251
        B"01101100" when B"0010011101",  -- INDEX 157
252
        B"01111110" when B"0010011110",  -- INDEX 158
253
        B"01111101" when B"0010011111",  -- INDEX 159
254
        B"01101000" when B"0010100000",  -- INDEX 160
255
        B"01000010" when B"0010100001",  -- INDEX 161
256
        B"00010011" when B"0010100010",  -- INDEX 162
257
        B"11100001" when B"0010100011",  -- INDEX 163
258
        B"10110011" when B"0010100100",  -- INDEX 164
259
        B"10010010" when B"0010100101",  -- INDEX 165
260
        B"10000001" when B"0010100110",  -- INDEX 166
261
        B"10000100" when B"0010100111",  -- INDEX 167
262
        B"10011011" when B"0010101000",  -- INDEX 168
263
        B"11000001" when B"0010101001",  -- INDEX 169
264
        B"11110001" when B"0010101010",  -- INDEX 170
265
        B"00100011" when B"0010101011",  -- INDEX 171
266
        B"01010000" when B"0010101100",  -- INDEX 172
267
        B"01110000" when B"0010101101",  -- INDEX 173
268
        B"01111111" when B"0010101110",  -- INDEX 174
269
        B"01111010" when B"0010101111",  -- INDEX 175
270
        B"01100011" when B"0010110000",  -- INDEX 176
271
        B"00111011" when B"0010110001",  -- INDEX 177
272
        B"00001011" when B"0010110010",  -- INDEX 178
273
        B"11011001" when B"0010110011",  -- INDEX 179
274
        B"10101101" when B"0010110100",  -- INDEX 180
275
        B"10001110" when B"0010110101",  -- INDEX 181
276
        B"10000000" when B"0010110110",  -- INDEX 182
277
        B"10000111" when B"0010110111",  -- INDEX 183
278
        B"10100000" when B"0010111000",  -- INDEX 184
279
        B"11001000" when B"0010111001",  -- INDEX 185
280
        B"11111001" when B"0010111010",  -- INDEX 186
281
        B"00101011" when B"0010111011",  -- INDEX 187
282
        B"01010110" when B"0010111100",  -- INDEX 188
283
        B"01110100" when B"0010111101",  -- INDEX 189
284
        B"01111111" when B"0010111110",  -- INDEX 190
285
        B"01111000" when B"0010111111",  -- INDEX 191
286
        B"01011101" when B"0011000000",  -- INDEX 192
287
        B"00110100" when B"0011000001",  -- INDEX 193
288
        B"00000011" when B"0011000010",  -- INDEX 194
289
        B"11010001" when B"0011000011",  -- INDEX 195
290
        B"10100111" when B"0011000100",  -- INDEX 196
291
        B"10001010" when B"0011000101",  -- INDEX 197
292
        B"10000000" when B"0011000110",  -- INDEX 198
293
        B"10001010" when B"0011000111",  -- INDEX 199
294
        B"10100101" when B"0011001000",  -- INDEX 200
295
        B"11001111" when B"0011001001",  -- INDEX 201
296
        B"11111111" when B"0011001010",  -- INDEX 202
297
        B"00110000" when B"0011001011",  -- INDEX 203
298
        B"01011001" when B"0011001100",  -- INDEX 204
299
        B"01110101" when B"0011001101",  -- INDEX 205
300
        B"01111111" when B"0011001110",  -- INDEX 206
301
        B"01111000" when B"0011001111",  -- INDEX 207
302
        B"01011101" when B"0011010000",  -- INDEX 208
303
        B"00110101" when B"0011010001",  -- INDEX 209
304
        B"00000101" when B"0011010010",  -- INDEX 210
305
        B"11010100" when B"0011010011",  -- INDEX 211
306
        B"10101010" when B"0011010100",  -- INDEX 212
307
        B"10001100" when B"0011010101",  -- INDEX 213
308
        B"10000000" when B"0011010110",  -- INDEX 214
309
        B"10000111" when B"0011010111",  -- INDEX 215
310
        B"10100000" when B"0011011000",  -- INDEX 216
311
        B"11000111" when B"0011011001",  -- INDEX 217
312
        B"11110111" when B"0011011010",  -- INDEX 218
313
        B"00101000" when B"0011011011",  -- INDEX 219
314
        B"01010011" when B"0011011100",  -- INDEX 220
315
        B"01110010" when B"0011011101",  -- INDEX 221
316
        B"01111111" when B"0011011110",  -- INDEX 222
317
        B"01111010" when B"0011011111",  -- INDEX 223
318
        B"01100011" when B"0011100000",  -- INDEX 224
319
        B"00111100" when B"0011100001",  -- INDEX 225
320
        B"00001101" when B"0011100010",  -- INDEX 226
321
        B"11011100" when B"0011100011",  -- INDEX 227
322
        B"10110000" when B"0011100100",  -- INDEX 228
323
        B"10010000" when B"0011100101",  -- INDEX 229
324
        B"10000001" when B"0011100110",  -- INDEX 230
325
        B"10000101" when B"0011100111",  -- INDEX 231
326
        B"10011011" when B"0011101000",  -- INDEX 232
327
        B"11000000" when B"0011101001",  -- INDEX 233
328
        B"11101111" when B"0011101010",  -- INDEX 234
329
        B"00100000" when B"0011101011",  -- INDEX 235
330
        B"01001101" when B"0011101100",  -- INDEX 236
331
        B"01101110" when B"0011101101",  -- INDEX 237
332
        B"01111111" when B"0011101110",  -- INDEX 238
333
        B"01111100" when B"0011101111",  -- INDEX 239
334
        B"01101000" when B"0011110000",  -- INDEX 240
335
        B"01000011" when B"0011110001",  -- INDEX 241
336
        B"00010101" when B"0011110010",  -- INDEX 242
337
        B"11100100" when B"0011110011",  -- INDEX 243
338
        B"10110110" when B"0011110100",  -- INDEX 244
339
        B"10010100" when B"0011110101",  -- INDEX 245
340
        B"10000010" when B"0011110110",  -- INDEX 246
341
        B"10000011" when B"0011110111",  -- INDEX 247
342
        B"10010110" when B"0011111000",  -- INDEX 248
343
        B"10111001" when B"0011111001",  -- INDEX 249
344
        B"11100111" when B"0011111010",  -- INDEX 250
345
        B"00011000" when B"0011111011",  -- INDEX 251
346
        B"01000110" when B"0011111100",  -- INDEX 252
347
        B"01101010" when B"0011111101",  -- INDEX 253
348
        B"01111101" when B"0011111110",  -- INDEX 254
349
        B"01111110" when B"0011111111",  -- INDEX 255
350
        B"01101100" when B"0100000000",  -- INDEX 256
351
        B"01001010" when B"0100000001",  -- INDEX 257
352
        B"00011101" when B"0100000010",  -- INDEX 258
353
        B"11101011" when B"0100000011",  -- INDEX 259
354
        B"10111101" when B"0100000100",  -- INDEX 260
355
        B"10011001" when B"0100000101",  -- INDEX 261
356
        B"10000100" when B"0100000110",  -- INDEX 262
357
        B"10000001" when B"0100000111",  -- INDEX 263
358
        B"10010010" when B"0100001000",  -- INDEX 264
359
        B"10110011" when B"0100001001",  -- INDEX 265
360
        B"11011111" when B"0100001010",  -- INDEX 266
361
        B"00010001" when B"0100001011",  -- INDEX 267
362
        B"00111111" when B"0100001100",  -- INDEX 268
363
        B"01100101" when B"0100001101",  -- INDEX 269
364
        B"01111011" when B"0100001110",  -- INDEX 270
365
        B"01111111" when B"0100001111",  -- INDEX 271
366
        B"01110000" when B"0100010000",  -- INDEX 272
367
        B"01010000" when B"0100010001",  -- INDEX 273
368
        B"00100101" when B"0100010010",  -- INDEX 274
369
        B"11110011" when B"0100010011",  -- INDEX 275
370
        B"11000100" when B"0100010100",  -- INDEX 276
371
        B"10011110" when B"0100010101",  -- INDEX 277
372
        B"10000110" when B"0100010110",  -- INDEX 278
373
        B"10000000" when B"0100010111",  -- INDEX 279
374
        B"10001110" when B"0100011000",  -- INDEX 280
375
        B"10101100" when B"0100011001",  -- INDEX 281
376
        B"11010111" when B"0100011010",  -- INDEX 282
377
        B"00001001" when B"0100011011",  -- INDEX 283
378
        B"00111000" when B"0100011100",  -- INDEX 284
379
        B"01100000" when B"0100011101",  -- INDEX 285
380
        B"01111001" when B"0100011110",  -- INDEX 286
381
        B"01111111" when B"0100011111",  -- INDEX 287
382
        B"01110100" when B"0100100000",  -- INDEX 288
383
        B"01010111" when B"0100100001",  -- INDEX 289
384
        B"00101100" when B"0100100010",  -- INDEX 290
385
        B"11111011" when B"0100100011",  -- INDEX 291
386
        B"11001011" when B"0100100100",  -- INDEX 292
387
        B"10100011" when B"0100100101",  -- INDEX 293
388
        B"10001001" when B"0100100110",  -- INDEX 294
389
        B"10000000" when B"0100100111",  -- INDEX 295
390
        B"10001011" when B"0100101000",  -- INDEX 296
391
        B"10100111" when B"0100101001",  -- INDEX 297
392
        B"11010000" when B"0100101010",  -- INDEX 298
393
        B"00000001" when B"0100101011",  -- INDEX 299
394
        B"00110001" when B"0100101100",  -- INDEX 300
395
        B"01011010" when B"0100101101",  -- INDEX 301
396
        B"01110110" when B"0100101110",  -- INDEX 302
397
        B"01111111" when B"0100101111",  -- INDEX 303
398
        B"01110111" when B"0100110000",  -- INDEX 304
399
        B"01011100" when B"0100110001",  -- INDEX 305
400
        B"00110100" when B"0100110010",  -- INDEX 306
401
        B"00000100" when B"0100110011",  -- INDEX 307
402
        B"11010011" when B"0100110100",  -- INDEX 308
403
        B"10101001" when B"0100110101",  -- INDEX 309
404
        B"10001100" when B"0100110110",  -- INDEX 310
405
        B"10000000" when B"0100110111",  -- INDEX 311
406
        B"10001000" when B"0100111000",  -- INDEX 312
407
        B"10100001" when B"0100111001",  -- INDEX 313
408
        B"11001001" when B"0100111010",  -- INDEX 314
409
        B"11111000" when B"0100111011",  -- INDEX 315
410
        B"00101001" when B"0100111100",  -- INDEX 316
411
        B"01010100" when B"0100111101",  -- INDEX 317
412
        B"01110011" when B"0100111110",  -- INDEX 318
413
        B"01111111" when B"0100111111",  -- INDEX 319
414
        B"01111010" when B"0101000000",  -- INDEX 320
415
        B"01100010" when B"0101000001",  -- INDEX 321
416
        B"00111011" when B"0101000010",  -- INDEX 322
417
        B"00001100" when B"0101000011",  -- INDEX 323
418
        B"11011010" when B"0101000100",  -- INDEX 324
419
        B"10101111" when B"0101000101",  -- INDEX 325
420
        B"10001111" when B"0101000110",  -- INDEX 326
421
        B"10000001" when B"0101000111",  -- INDEX 327
422
        B"10000101" when B"0101001000",  -- INDEX 328
423
        B"10011100" when B"0101001001",  -- INDEX 329
424
        B"11000001" when B"0101001010",  -- INDEX 330
425
        B"11110000" when B"0101001011",  -- INDEX 331
426
        B"00100010" when B"0101001100",  -- INDEX 332
427
        B"01001110" when B"0101001101",  -- INDEX 333
428
        B"01101111" when B"0101001110",  -- INDEX 334
429
        B"01111111" when B"0101001111",  -- INDEX 335
430
        B"01111100" when B"0101010000",  -- INDEX 336
431
        B"01100111" when B"0101010001",  -- INDEX 337
432
        B"01000010" when B"0101010010",  -- INDEX 338
433
        B"00010100" when B"0101010011",  -- INDEX 339
434
        B"11100010" when B"0101010100",  -- INDEX 340
435
        B"10110101" when B"0101010101",  -- INDEX 341
436
        B"10010011" when B"0101010110",  -- INDEX 342
437
        B"10000010" when B"0101010111",  -- INDEX 343
438
        B"10000011" when B"0101011000",  -- INDEX 344
439
        B"10010111" when B"0101011001",  -- INDEX 345
440
        B"10111011" when B"0101011010",  -- INDEX 346
441
        B"11101001" when B"0101011011",  -- INDEX 347
442
        B"00011010" when B"0101011100",  -- INDEX 348
443
        B"01001000" when B"0101011101",  -- INDEX 349
444
        B"01101010" when B"0101011110",  -- INDEX 350
445
        B"01111101" when B"0101011111",  -- INDEX 351
446
        B"01111110" when B"0101100000",  -- INDEX 352
447
        B"01101011" when B"0101100001",  -- INDEX 353
448
        B"01001001" when B"0101100010",  -- INDEX 354
449
        B"00011011" when B"0101100011",  -- INDEX 355
450
        B"11101010" when B"0101100100",  -- INDEX 356
451
        B"10111100" when B"0101100101",  -- INDEX 357
452
        B"10011000" when B"0101100110",  -- INDEX 358
453
        B"10000011" when B"0101100111",  -- INDEX 359
454
        B"10000010" when B"0101101000",  -- INDEX 360
455
        B"10010011" when B"0101101001",  -- INDEX 361
456
        B"10110100" when B"0101101010",  -- INDEX 362
457
        B"11100001" when B"0101101011",  -- INDEX 363
458
        B"00010010" when B"0101101100",  -- INDEX 364
459
        B"01000001" when B"0101101101",  -- INDEX 365
460
        B"01100110" when B"0101101110",  -- INDEX 366
461
        B"01111100" when B"0101101111",  -- INDEX 367
462
        B"01111111" when B"0101110000",  -- INDEX 368
463
        B"01101111" when B"0101110001",  -- INDEX 369
464
        B"01001111" when B"0101110010",  -- INDEX 370
465
        B"00100011" when B"0101110011",  -- INDEX 371
466
        B"11110010" when B"0101110100",  -- INDEX 372
467
        B"11000011" when B"0101110101",  -- INDEX 373
468
        B"10011101" when B"0101110110",  -- INDEX 374
469
        B"10000110" when B"0101110111",  -- INDEX 375
470
        B"10000001" when B"0101111000",  -- INDEX 376
471
        B"10001111" when B"0101111001",  -- INDEX 377
472
        B"10101110" when B"0101111010",  -- INDEX 378
473
        B"11011001" when B"0101111011",  -- INDEX 379
474
        B"00001010" when B"0101111100",  -- INDEX 380
475
        B"00111010" when B"0101111101",  -- INDEX 381
476
        B"01100001" when B"0101111110",  -- INDEX 382
477
        B"01111001" when B"0101111111",  -- INDEX 383
478
        B"01111111" when B"0110000000",  -- INDEX 384
479
        B"01110011" when B"0110000001",  -- INDEX 385
480
        B"01010101" when B"0110000010",  -- INDEX 386
481
        B"00101011" when B"0110000011",  -- INDEX 387
482
        B"11111010" when B"0110000100",  -- INDEX 388
483
        B"11001010" when B"0110000101",  -- INDEX 389
484
        B"10100010" when B"0110000110",  -- INDEX 390
485
        B"10001000" when B"0110000111",  -- INDEX 391
486
        B"10000000" when B"0110001000",  -- INDEX 392
487
        B"10001011" when B"0110001001",  -- INDEX 393
488
        B"10101000" when B"0110001010",  -- INDEX 394
489
        B"11010001" when B"0110001011",  -- INDEX 395
490
        B"00000010" when B"0110001100",  -- INDEX 396
491
        B"00110010" when B"0110001101",  -- INDEX 397
492
        B"01011011" when B"0110001110",  -- INDEX 398
493
        B"01110110" when B"0110001111",  -- INDEX 399
494
        B"01111111" when B"0110010000",  -- INDEX 400
495
        B"01110110" when B"0110010001",  -- INDEX 401
496
        B"01011010" when B"0110010010",  -- INDEX 402
497
        B"00110000" when B"0110010011",  -- INDEX 403
498
        B"11111110" when B"0110010100",  -- INDEX 404
499
        B"11001101" when B"0110010101",  -- INDEX 405
500
        B"10100011" when B"0110010110",  -- INDEX 406
501
        B"10001000" when B"0110010111",  -- INDEX 407
502
        B"10000000" when B"0110011000",  -- INDEX 408
503
        B"10001100" when B"0110011001",  -- INDEX 409
504
        B"10101001" when B"0110011010",  -- INDEX 410
505
        B"11010100" when B"0110011011",  -- INDEX 411
506
        B"00000110" when B"0110011100",  -- INDEX 412
507
        B"00110111" when B"0110011101",  -- INDEX 413
508
        B"01011111" when B"0110011110",  -- INDEX 414
509
        B"01111001" when B"0110011111",  -- INDEX 415
510
        B"01111111" when B"0110100000",  -- INDEX 416
511
        B"01110011" when B"0110100001",  -- INDEX 417
512
        B"01010100" when B"0110100010",  -- INDEX 418
513
        B"00101000" when B"0110100011",  -- INDEX 419
514
        B"11110110" when B"0110100100",  -- INDEX 420
515
        B"11000101" when B"0110100101",  -- INDEX 421
516
        B"10011110" when B"0110100110",  -- INDEX 422
517
        B"10000110" when B"0110100111",  -- INDEX 423
518
        B"10000001" when B"0110101000",  -- INDEX 424
519
        B"10001111" when B"0110101001",  -- INDEX 425
520
        B"10101111" when B"0110101010",  -- INDEX 426
521
        B"11011100" when B"0110101011",  -- INDEX 427
522
        B"00001110" when B"0110101100",  -- INDEX 428
523
        B"00111110" when B"0110101101",  -- INDEX 429
524
        B"01100101" when B"0110101110",  -- INDEX 430
525
        B"01111011" when B"0110101111",  -- INDEX 431
526
        B"01111111" when B"0110110000",  -- INDEX 432
527
        B"01101111" when B"0110110001",  -- INDEX 433
528
        B"01001110" when B"0110110010",  -- INDEX 434
529
        B"00100000" when B"0110110011",  -- INDEX 435
530
        B"11101110" when B"0110110100",  -- INDEX 436
531
        B"10111110" when B"0110110101",  -- INDEX 437
532
        B"10011001" when B"0110110110",  -- INDEX 438
533
        B"10000100" when B"0110110111",  -- INDEX 439
534
        B"10000010" when B"0110111000",  -- INDEX 440
535
        B"10010011" when B"0110111001",  -- INDEX 441
536
        B"10110110" when B"0110111010",  -- INDEX 442
537
        B"11100100" when B"0110111011",  -- INDEX 443
538
        B"00010110" when B"0110111100",  -- INDEX 444
539
        B"01000101" when B"0110111101",  -- INDEX 445
540
        B"01101001" when B"0110111110",  -- INDEX 446
541
        B"01111101" when B"0110111111",  -- INDEX 447
542
        B"01111110" when B"0111000000",  -- INDEX 448
543
        B"01101011" when B"0111000001",  -- INDEX 449
544
        B"01000111" when B"0111000010",  -- INDEX 450
545
        B"00011000" when B"0111000011",  -- INDEX 451
546
        B"11100110" when B"0111000100",  -- INDEX 452
547
        B"10111000" when B"0111000101",  -- INDEX 453
548
        B"10010100" when B"0111000110",  -- INDEX 454
549
        B"10000010" when B"0111000111",  -- INDEX 455
550
        B"10000011" when B"0111001000",  -- INDEX 456
551
        B"10011000" when B"0111001001",  -- INDEX 457
552
        B"10111100" when B"0111001010",  -- INDEX 458
553
        B"11101011" when B"0111001011",  -- INDEX 459
554
        B"00011110" when B"0111001100",  -- INDEX 460
555
        B"01001100" when B"0111001101",  -- INDEX 461
556
        B"01101110" when B"0111001110",  -- INDEX 462
557
        B"01111111" when B"0111001111",  -- INDEX 463
558
        B"01111100" when B"0111010000",  -- INDEX 464
559
        B"01100110" when B"0111010001",  -- INDEX 465
560
        B"01000000" when B"0111010010",  -- INDEX 466
561
        B"00010001" when B"0111010011",  -- INDEX 467
562
        B"11011110" when B"0111010100",  -- INDEX 468
563
        B"10110001" when B"0111010101",  -- INDEX 469
564
        B"10010000" when B"0111010110",  -- INDEX 470
565
        B"10000001" when B"0111010111",  -- INDEX 471
566
        B"10000101" when B"0111011000",  -- INDEX 472
567
        B"10011100" when B"0111011001",  -- INDEX 473
568
        B"11000011" when B"0111011010",  -- INDEX 474
569
        B"11110011" when B"0111011011",  -- INDEX 475
570
        B"00100110" when B"0111011100",  -- INDEX 476
571
        B"01010010" when B"0111011101",  -- INDEX 477
572
        B"01110010" when B"0111011110",  -- INDEX 478
573
        B"01111111" when B"0111011111",  -- INDEX 479
574
        B"01111010" when B"0111100000",  -- INDEX 480
575
        B"01100001" when B"0111100001",  -- INDEX 481
576
        B"00111001" when B"0111100010",  -- INDEX 482
577
        B"00001001" when B"0111100011",  -- INDEX 483
578
        B"11010111" when B"0111100100",  -- INDEX 484
579
        B"10101011" when B"0111100101",  -- INDEX 485
580
        B"10001101" when B"0111100110",  -- INDEX 486
581
        B"10000000" when B"0111100111",  -- INDEX 487
582
        B"10001000" when B"0111101000",  -- INDEX 488
583
        B"10100010" when B"0111101001",  -- INDEX 489
584
        B"11001010" when B"0111101010",  -- INDEX 490
585
        B"11111011" when B"0111101011",  -- INDEX 491
586
        B"00101101" when B"0111101100",  -- INDEX 492
587
        B"01011000" when B"0111101101",  -- INDEX 493
588
        B"01110101" when B"0111101110",  -- INDEX 494
589
        B"01111111" when B"0111101111",  -- INDEX 495
590
        B"01110111" when B"0111110000",  -- INDEX 496
591
        B"01011100" when B"0111110001",  -- INDEX 497
592
        B"00110010" when B"0111110010",  -- INDEX 498
593
        B"00000001" when B"0111110011",  -- INDEX 499
594
        B"11001111" when B"0111110100",  -- INDEX 500
595
        B"10100101" when B"0111110101",  -- INDEX 501
596
        B"10001001" when B"0111110110",  -- INDEX 502
597
        B"10000000" when B"0111110111",  -- INDEX 503
598
        B"10001011" when B"0111111000",  -- INDEX 504
599
        B"10100111" when B"0111111001",  -- INDEX 505
600
        B"11010010" when B"0111111010",  -- INDEX 506
601
        B"00000100" when B"0111111011",  -- INDEX 507
602
        B"00110101" when B"0111111100",  -- INDEX 508
603
        B"01011110" when B"0111111101",  -- INDEX 509
604
        B"01111000" when B"0111111110",  -- INDEX 510
605
        B"01111111" when B"0111111111",  -- INDEX 511
606
        B"01110100" when B"1000000000",  -- INDEX 512
607
        B"01010110" when B"1000000001",  -- INDEX 513
608
        B"00101010" when B"1000000010",  -- INDEX 514
609
        B"11111000" when B"1000000011",  -- INDEX 515
610
        B"11001000" when B"1000000100",  -- INDEX 516
611
        B"10100000" when B"1000000101",  -- INDEX 517
612
        B"10000111" when B"1000000110",  -- INDEX 518
613
        B"10000000" when B"1000000111",  -- INDEX 519
614
        B"10001110" when B"1000001000",  -- INDEX 520
615
        B"10101101" when B"1000001001",  -- INDEX 521
616
        B"11011001" when B"1000001010",  -- INDEX 522
617
        B"00001100" when B"1000001011",  -- INDEX 523
618
        B"00111100" when B"1000001100",  -- INDEX 524
619
        B"01100011" when B"1000001101",  -- INDEX 525
620
        B"01111011" when B"1000001110",  -- INDEX 526
621
        B"01111111" when B"1000001111",  -- INDEX 527
622
        B"01110000" when B"1000010000",  -- INDEX 528
623
        B"01010000" when B"1000010001",  -- INDEX 529
624
        B"00100011" when B"1000010010",  -- INDEX 530
625
        B"11110000" when B"1000010011",  -- INDEX 531
626
        B"11000001" when B"1000010100",  -- INDEX 532
627
        B"10011011" when B"1000010101",  -- INDEX 533
628
        B"10000100" when B"1000010110",  -- INDEX 534
629
        B"10000001" when B"1000010111",  -- INDEX 535
630
        B"10010010" when B"1000011000",  -- INDEX 536
631
        B"10110100" when B"1000011001",  -- INDEX 537
632
        B"11100001" when B"1000011010",  -- INDEX 538
633
        B"00010100" when B"1000011011",  -- INDEX 539
634
        B"01000011" when B"1000011100",  -- INDEX 540
635
        B"01101000" when B"1000011101",  -- INDEX 541
636
        B"01111101" when B"1000011110",  -- INDEX 542
637
        B"01111110" when B"1000011111",  -- INDEX 543
638
        B"01101100" when B"1000100000",  -- INDEX 544
639
        B"01001001" when B"1000100001",  -- INDEX 545
640
        B"00011011" when B"1000100010",  -- INDEX 546
641
        B"11101001" when B"1000100011",  -- INDEX 547
642
        B"10111010" when B"1000100100",  -- INDEX 548
643
        B"10010110" when B"1000100101",  -- INDEX 549
644
        B"10000010" when B"1000100110",  -- INDEX 550
645
        B"10000011" when B"1000100111",  -- INDEX 551
646
        B"10010110" when B"1000101000",  -- INDEX 552
647
        B"10111010" when B"1000101001",  -- INDEX 553
648
        B"11101001" when B"1000101010",  -- INDEX 554
649
        B"00011011" when B"1000101011",  -- INDEX 555
650
        B"01001010" when B"1000101100",  -- INDEX 556
651
        B"01101100" when B"1000101101",  -- INDEX 557
652
        B"01111110" when B"1000101110",  -- INDEX 558
653
        B"01111101" when B"1000101111",  -- INDEX 559
654
        B"01101000" when B"1000110000",  -- INDEX 560
655
        B"01000010" when B"1000110001",  -- INDEX 561
656
        B"00010011" when B"1000110010",  -- INDEX 562
657
        B"11100001" when B"1000110011",  -- INDEX 563
658
        B"10110011" when B"1000110100",  -- INDEX 564
659
        B"10010010" when B"1000110101",  -- INDEX 565
660
        B"10000001" when B"1000110110",  -- INDEX 566
661
        B"10000100" when B"1000110111",  -- INDEX 567
662
        B"10011011" when B"1000111000",  -- INDEX 568
663
        B"11000001" when B"1000111001",  -- INDEX 569
664
        B"11110001" when B"1000111010",  -- INDEX 570
665
        B"00100011" when B"1000111011",  -- INDEX 571
666
        B"01010000" when B"1000111100",  -- INDEX 572
667
        B"01110000" when B"1000111101",  -- INDEX 573
668
        B"01111111" when B"1000111110",  -- INDEX 574
669
        B"01111010" when B"1000111111",  -- INDEX 575
670
        B"01100011" when B"1001000000",  -- INDEX 576
671
        B"00111011" when B"1001000001",  -- INDEX 577
672
        B"00001011" when B"1001000010",  -- INDEX 578
673
        B"11011001" when B"1001000011",  -- INDEX 579
674
        B"10101101" when B"1001000100",  -- INDEX 580
675
        B"10001110" when B"1001000101",  -- INDEX 581
676
        B"10000000" when B"1001000110",  -- INDEX 582
677
        B"10000111" when B"1001000111",  -- INDEX 583
678
        B"10100000" when B"1001001000",  -- INDEX 584
679
        B"11001000" when B"1001001001",  -- INDEX 585
680
        B"11111001" when B"1001001010",  -- INDEX 586
681
        B"00101011" when B"1001001011",  -- INDEX 587
682
        B"01010110" when B"1001001100",  -- INDEX 588
683
        B"01110100" when B"1001001101",  -- INDEX 589
684
        B"01111111" when B"1001001110",  -- INDEX 590
685
        B"01111000" when B"1001001111",  -- INDEX 591
686
        B"01011101" when B"1001010000",  -- INDEX 592
687
        B"00110100" when B"1001010001",  -- INDEX 593
688
        B"00000011" when B"1001010010",  -- INDEX 594
689
        B"11010001" when B"1001010011",  -- INDEX 595
690
        B"10100111" when B"1001010100",  -- INDEX 596
691
        B"10001010" when B"1001010101",  -- INDEX 597
692
        B"10000000" when B"1001010110",  -- INDEX 598
693
        B"10001010" when B"1001010111",  -- INDEX 599
694
        B"10100101" when B"1001011000",  -- INDEX 600
695
        B"11001111" when B"1001011001",  -- INDEX 601
696
        B"11111111" when B"1001011010",  -- INDEX 602
697
        B"00110000" when B"1001011011",  -- INDEX 603
698
        B"01011001" when B"1001011100",  -- INDEX 604
699
        B"01110101" when B"1001011101",  -- INDEX 605
700
        B"01111111" when B"1001011110",  -- INDEX 606
701
        B"01111000" when B"1001011111",  -- INDEX 607
702
        B"01011101" when B"1001100000",  -- INDEX 608
703
        B"00110101" when B"1001100001",  -- INDEX 609
704
        B"00000101" when B"1001100010",  -- INDEX 610
705
        B"11010100" when B"1001100011",  -- INDEX 611
706
        B"10101010" when B"1001100100",  -- INDEX 612
707
        B"10001100" when B"1001100101",  -- INDEX 613
708
        B"10000000" when B"1001100110",  -- INDEX 614
709
        B"10000111" when B"1001100111",  -- INDEX 615
710
        B"10100000" when B"1001101000",  -- INDEX 616
711
        B"11000111" when B"1001101001",  -- INDEX 617
712
        B"11110111" when B"1001101010",  -- INDEX 618
713
        B"00101000" when B"1001101011",  -- INDEX 619
714
        B"01010011" when B"1001101100",  -- INDEX 620
715
        B"01110010" when B"1001101101",  -- INDEX 621
716
        B"01111111" when B"1001101110",  -- INDEX 622
717
        B"01111010" when B"1001101111",  -- INDEX 623
718
        B"01100011" when B"1001110000",  -- INDEX 624
719
        B"00111100" when B"1001110001",  -- INDEX 625
720
        B"00001101" when B"1001110010",  -- INDEX 626
721
        B"11011100" when B"1001110011",  -- INDEX 627
722
        B"10110000" when B"1001110100",  -- INDEX 628
723
        B"10010000" when B"1001110101",  -- INDEX 629
724
        B"10000001" when B"1001110110",  -- INDEX 630
725
        B"10000101" when B"1001110111",  -- INDEX 631
726
        B"10011011" when B"1001111000",  -- INDEX 632
727
        B"11000000" when B"1001111001",  -- INDEX 633
728
        B"11101111" when B"1001111010",  -- INDEX 634
729
        B"00100000" when B"1001111011",  -- INDEX 635
730
        B"01001101" when B"1001111100",  -- INDEX 636
731
        B"01101110" when B"1001111101",  -- INDEX 637
732
        B"01111111" when B"1001111110",  -- INDEX 638
733
        B"01111100" when B"1001111111",  -- INDEX 639
734
        B"01101000" when B"1010000000",  -- INDEX 640
735
        B"01000011" when B"1010000001",  -- INDEX 641
736
        B"00010101" when B"1010000010",  -- INDEX 642
737
        B"11100100" when B"1010000011",  -- INDEX 643
738
        B"10110110" when B"1010000100",  -- INDEX 644
739
        B"10010100" when B"1010000101",  -- INDEX 645
740
        B"10000010" when B"1010000110",  -- INDEX 646
741
        B"10000011" when B"1010000111",  -- INDEX 647
742
        B"10010110" when B"1010001000",  -- INDEX 648
743
        B"10111001" when B"1010001001",  -- INDEX 649
744
        B"11100111" when B"1010001010",  -- INDEX 650
745
        B"00011000" when B"1010001011",  -- INDEX 651
746
        B"01000110" when B"1010001100",  -- INDEX 652
747
        B"01101010" when B"1010001101",  -- INDEX 653
748
        B"01111101" when B"1010001110",  -- INDEX 654
749
        B"01111110" when B"1010001111",  -- INDEX 655
750
        B"01101100" when B"1010010000",  -- INDEX 656
751
        B"01001010" when B"1010010001",  -- INDEX 657
752
        B"00011101" when B"1010010010",  -- INDEX 658
753
        B"11101011" when B"1010010011",  -- INDEX 659
754
        B"10111101" when B"1010010100",  -- INDEX 660
755
        B"10011001" when B"1010010101",  -- INDEX 661
756
        B"10000100" when B"1010010110",  -- INDEX 662
757
        B"10000001" when B"1010010111",  -- INDEX 663
758
        B"10010010" when B"1010011000",  -- INDEX 664
759
        B"10110011" when B"1010011001",  -- INDEX 665
760
        B"11011111" when B"1010011010",  -- INDEX 666
761
        B"00010001" when B"1010011011",  -- INDEX 667
762
        B"00111111" when B"1010011100",  -- INDEX 668
763
        B"01100101" when B"1010011101",  -- INDEX 669
764
        B"01111011" when B"1010011110",  -- INDEX 670
765
        B"01111111" when B"1010011111",  -- INDEX 671
766
        B"01110000" when B"1010100000",  -- INDEX 672
767
        B"01010000" when B"1010100001",  -- INDEX 673
768
        B"00100101" when B"1010100010",  -- INDEX 674
769
        B"11110011" when B"1010100011",  -- INDEX 675
770
        B"11000100" when B"1010100100",  -- INDEX 676
771
        B"10011110" when B"1010100101",  -- INDEX 677
772
        B"10000110" when B"1010100110",  -- INDEX 678
773
        B"10000000" when B"1010100111",  -- INDEX 679
774
        B"10001110" when B"1010101000",  -- INDEX 680
775
        B"10101100" when B"1010101001",  -- INDEX 681
776
        B"11010111" when B"1010101010",  -- INDEX 682
777
        B"00001001" when B"1010101011",  -- INDEX 683
778
        B"00111000" when B"1010101100",  -- INDEX 684
779
        B"01100000" when B"1010101101",  -- INDEX 685
780
        B"01111001" when B"1010101110",  -- INDEX 686
781
        B"01111111" when B"1010101111",  -- INDEX 687
782
        B"01110100" when B"1010110000",  -- INDEX 688
783
        B"01010111" when B"1010110001",  -- INDEX 689
784
        B"00101100" when B"1010110010",  -- INDEX 690
785
        B"11111011" when B"1010110011",  -- INDEX 691
786
        B"11001011" when B"1010110100",  -- INDEX 692
787
        B"10100011" when B"1010110101",  -- INDEX 693
788
        B"10001001" when B"1010110110",  -- INDEX 694
789
        B"10000000" when B"1010110111",  -- INDEX 695
790
        B"10001011" when B"1010111000",  -- INDEX 696
791
        B"10100111" when B"1010111001",  -- INDEX 697
792
        B"11010000" when B"1010111010",  -- INDEX 698
793
        B"00000001" when B"1010111011",  -- INDEX 699
794
        B"00110001" when B"1010111100",  -- INDEX 700
795
        B"01011010" when B"1010111101",  -- INDEX 701
796
        B"01110110" when B"1010111110",  -- INDEX 702
797
        B"01111111" when B"1010111111",  -- INDEX 703
798
        B"01110111" when B"1011000000",  -- INDEX 704
799
        B"01011100" when B"1011000001",  -- INDEX 705
800
        B"00110100" when B"1011000010",  -- INDEX 706
801
        B"00000100" when B"1011000011",  -- INDEX 707
802
        B"11010011" when B"1011000100",  -- INDEX 708
803
        B"10101001" when B"1011000101",  -- INDEX 709
804
        B"10001100" when B"1011000110",  -- INDEX 710
805
        B"10000000" when B"1011000111",  -- INDEX 711
806
        B"10001000" when B"1011001000",  -- INDEX 712
807
        B"10100001" when B"1011001001",  -- INDEX 713
808
        B"11001001" when B"1011001010",  -- INDEX 714
809
        B"11111000" when B"1011001011",  -- INDEX 715
810
        B"00101001" when B"1011001100",  -- INDEX 716
811
        B"01010100" when B"1011001101",  -- INDEX 717
812
        B"01110011" when B"1011001110",  -- INDEX 718
813
        B"01111111" when B"1011001111",  -- INDEX 719
814
        B"01111010" when B"1011010000",  -- INDEX 720
815
        B"01100010" when B"1011010001",  -- INDEX 721
816
        B"00111011" when B"1011010010",  -- INDEX 722
817
        B"00001100" when B"1011010011",  -- INDEX 723
818
        B"11011010" when B"1011010100",  -- INDEX 724
819
        B"10101111" when B"1011010101",  -- INDEX 725
820
        B"10001111" when B"1011010110",  -- INDEX 726
821
        B"10000001" when B"1011010111",  -- INDEX 727
822
        B"10000101" when B"1011011000",  -- INDEX 728
823
        B"10011100" when B"1011011001",  -- INDEX 729
824
        B"11000001" when B"1011011010",  -- INDEX 730
825
        B"11110000" when B"1011011011",  -- INDEX 731
826
        B"00100010" when B"1011011100",  -- INDEX 732
827
        B"01001110" when B"1011011101",  -- INDEX 733
828
        B"01101111" when B"1011011110",  -- INDEX 734
829
        B"01111111" when B"1011011111",  -- INDEX 735
830
        B"01111100" when B"1011100000",  -- INDEX 736
831
        B"01100111" when B"1011100001",  -- INDEX 737
832
        B"01000010" when B"1011100010",  -- INDEX 738
833
        B"00010100" when B"1011100011",  -- INDEX 739
834
        B"11100010" when B"1011100100",  -- INDEX 740
835
        B"10110101" when B"1011100101",  -- INDEX 741
836
        B"10010011" when B"1011100110",  -- INDEX 742
837
        B"10000010" when B"1011100111",  -- INDEX 743
838
        B"10000011" when B"1011101000",  -- INDEX 744
839
        B"10010111" when B"1011101001",  -- INDEX 745
840
        B"10111011" when B"1011101010",  -- INDEX 746
841
        B"11101001" when B"1011101011",  -- INDEX 747
842
        B"00011010" when B"1011101100",  -- INDEX 748
843
        B"01001000" when B"1011101101",  -- INDEX 749
844
        B"01101010" when B"1011101110",  -- INDEX 750
845
        B"01111101" when B"1011101111",  -- INDEX 751
846
        B"01111110" when B"1011110000",  -- INDEX 752
847
        B"01101011" when B"1011110001",  -- INDEX 753
848
        B"01001001" when B"1011110010",  -- INDEX 754
849
        B"00011011" when B"1011110011",  -- INDEX 755
850
        B"11101010" when B"1011110100",  -- INDEX 756
851
        B"10111100" when B"1011110101",  -- INDEX 757
852
        B"10011000" when B"1011110110",  -- INDEX 758
853
        B"10000011" when B"1011110111",  -- INDEX 759
854
        B"10000010" when B"1011111000",  -- INDEX 760
855
        B"10010011" when B"1011111001",  -- INDEX 761
856
        B"10110100" when B"1011111010",  -- INDEX 762
857
        B"11100001" when B"1011111011",  -- INDEX 763
858
        B"00010010" when B"1011111100",  -- INDEX 764
859
        B"01000001" when B"1011111101",  -- INDEX 765
860
        B"01100110" when B"1011111110",  -- INDEX 766
861
        B"01111100" when B"1011111111",  -- INDEX 767
862
        B"01111111" when B"1100000000",  -- INDEX 768
863
        B"01101111" when B"1100000001",  -- INDEX 769
864
        B"01001111" when B"1100000010",  -- INDEX 770
865
        B"00100011" when B"1100000011",  -- INDEX 771
866
        B"11110010" when B"1100000100",  -- INDEX 772
867
        B"11000011" when B"1100000101",  -- INDEX 773
868
        B"10011101" when B"1100000110",  -- INDEX 774
869
        B"10000110" when B"1100000111",  -- INDEX 775
870
        B"10000001" when B"1100001000",  -- INDEX 776
871
        B"10001111" when B"1100001001",  -- INDEX 777
872
        B"10101110" when B"1100001010",  -- INDEX 778
873
        B"11011001" when B"1100001011",  -- INDEX 779
874
        B"00001010" when B"1100001100",  -- INDEX 780
875
        B"00111010" when B"1100001101",  -- INDEX 781
876
        B"01100001" when B"1100001110",  -- INDEX 782
877
        B"01111001" when B"1100001111",  -- INDEX 783
878
        B"01111111" when B"1100010000",  -- INDEX 784
879
        B"01110011" when B"1100010001",  -- INDEX 785
880
        B"01010101" when B"1100010010",  -- INDEX 786
881
        B"00101011" when B"1100010011",  -- INDEX 787
882
        B"11111010" when B"1100010100",  -- INDEX 788
883
        B"11001010" when B"1100010101",  -- INDEX 789
884
        B"10100010" when B"1100010110",  -- INDEX 790
885
        B"10001000" when B"1100010111",  -- INDEX 791
886
        B"10000000" when B"1100011000",  -- INDEX 792
887
        B"10001011" when B"1100011001",  -- INDEX 793
888
        B"10101000" when B"1100011010",  -- INDEX 794
889
        B"11010001" when B"1100011011",  -- INDEX 795
890
        B"00000010" when B"1100011100",  -- INDEX 796
891
        B"00110010" when B"1100011101",  -- INDEX 797
892
        B"01011011" when B"1100011110",  -- INDEX 798
893
        B"01110110" when B"1100011111",  -- INDEX 799
894
        B"01111111" when B"1100100000",  -- INDEX 800
895
        B"01110110" when B"1100100001",  -- INDEX 801
896
        B"01011010" when B"1100100010",  -- INDEX 802
897
        B"00110000" when B"1100100011",  -- INDEX 803
898
        B"11111110" when B"1100100100",  -- INDEX 804
899
        B"11001101" when B"1100100101",  -- INDEX 805
900
        B"10100011" when B"1100100110",  -- INDEX 806
901
        B"10001000" when B"1100100111",  -- INDEX 807
902
        B"10000000" when B"1100101000",  -- INDEX 808
903
        B"10001100" when B"1100101001",  -- INDEX 809
904
        B"10101001" when B"1100101010",  -- INDEX 810
905
        B"11010100" when B"1100101011",  -- INDEX 811
906
        B"00000110" when B"1100101100",  -- INDEX 812
907
        B"00110111" when B"1100101101",  -- INDEX 813
908
        B"01011111" when B"1100101110",  -- INDEX 814
909
        B"01111001" when B"1100101111",  -- INDEX 815
910
        B"01111111" when B"1100110000",  -- INDEX 816
911
        B"01110011" when B"1100110001",  -- INDEX 817
912
        B"01010100" when B"1100110010",  -- INDEX 818
913
        B"00101000" when B"1100110011",  -- INDEX 819
914
        B"11110110" when B"1100110100",  -- INDEX 820
915
        B"11000101" when B"1100110101",  -- INDEX 821
916
        B"10011110" when B"1100110110",  -- INDEX 822
917
        B"10000110" when B"1100110111",  -- INDEX 823
918
        B"10000001" when B"1100111000",  -- INDEX 824
919
        B"10001111" when B"1100111001",  -- INDEX 825
920
        B"10101111" when B"1100111010",  -- INDEX 826
921
        B"11011100" when B"1100111011",  -- INDEX 827
922
        B"00001110" when B"1100111100",  -- INDEX 828
923
        B"00111110" when B"1100111101",  -- INDEX 829
924
        B"01100101" when B"1100111110",  -- INDEX 830
925
        B"01111011" when B"1100111111",  -- INDEX 831
926
        B"01111111" when B"1101000000",  -- INDEX 832
927
        B"01101111" when B"1101000001",  -- INDEX 833
928
        B"01001110" when B"1101000010",  -- INDEX 834
929
        B"00100000" when B"1101000011",  -- INDEX 835
930
        B"11101110" when B"1101000100",  -- INDEX 836
931
        B"10111110" when B"1101000101",  -- INDEX 837
932
        B"10011001" when B"1101000110",  -- INDEX 838
933
        B"10000100" when B"1101000111",  -- INDEX 839
934
        B"10000010" when B"1101001000",  -- INDEX 840
935
        B"10010011" when B"1101001001",  -- INDEX 841
936
        B"10110110" when B"1101001010",  -- INDEX 842
937
        B"11100100" when B"1101001011",  -- INDEX 843
938
        B"00010110" when B"1101001100",  -- INDEX 844
939
        B"01000101" when B"1101001101",  -- INDEX 845
940
        B"01101001" when B"1101001110",  -- INDEX 846
941
        B"01111101" when B"1101001111",  -- INDEX 847
942
        B"01111110" when B"1101010000",  -- INDEX 848
943
        B"01101011" when B"1101010001",  -- INDEX 849
944
        B"01000111" when B"1101010010",  -- INDEX 850
945
        B"00011000" when B"1101010011",  -- INDEX 851
946
        B"11100110" when B"1101010100",  -- INDEX 852
947
        B"10111000" when B"1101010101",  -- INDEX 853
948
        B"10010100" when B"1101010110",  -- INDEX 854
949
        B"10000010" when B"1101010111",  -- INDEX 855
950
        B"10000011" when B"1101011000",  -- INDEX 856
951
        B"10011000" when B"1101011001",  -- INDEX 857
952
        B"10111100" when B"1101011010",  -- INDEX 858
953
        B"11101011" when B"1101011011",  -- INDEX 859
954
        B"00011110" when B"1101011100",  -- INDEX 860
955
        B"01001100" when B"1101011101",  -- INDEX 861
956
        B"01101110" when B"1101011110",  -- INDEX 862
957
        B"01111111" when B"1101011111",  -- INDEX 863
958
        B"01111100" when B"1101100000",  -- INDEX 864
959
        B"01100110" when B"1101100001",  -- INDEX 865
960
        B"01000000" when B"1101100010",  -- INDEX 866
961
        B"00010001" when B"1101100011",  -- INDEX 867
962
        B"11011110" when B"1101100100",  -- INDEX 868
963
        B"10110001" when B"1101100101",  -- INDEX 869
964
        B"10010000" when B"1101100110",  -- INDEX 870
965
        B"10000001" when B"1101100111",  -- INDEX 871
966
        B"10000101" when B"1101101000",  -- INDEX 872
967
        B"10011100" when B"1101101001",  -- INDEX 873
968
        B"11000011" when B"1101101010",  -- INDEX 874
969
        B"11110011" when B"1101101011",  -- INDEX 875
970
        B"00100110" when B"1101101100",  -- INDEX 876
971
        B"01010010" when B"1101101101",  -- INDEX 877
972
        B"01110010" when B"1101101110",  -- INDEX 878
973
        B"01111111" when B"1101101111",  -- INDEX 879
974
        B"01111010" when B"1101110000",  -- INDEX 880
975
        B"01100001" when B"1101110001",  -- INDEX 881
976
        B"00111001" when B"1101110010",  -- INDEX 882
977
        B"00001001" when B"1101110011",  -- INDEX 883
978
        B"11010111" when B"1101110100",  -- INDEX 884
979
        B"10101011" when B"1101110101",  -- INDEX 885
980
        B"10001101" when B"1101110110",  -- INDEX 886
981
        B"10000000" when B"1101110111",  -- INDEX 887
982
        B"10001000" when B"1101111000",  -- INDEX 888
983
        B"10100010" when B"1101111001",  -- INDEX 889
984
        B"11001010" when B"1101111010",  -- INDEX 890
985
        B"11111011" when B"1101111011",  -- INDEX 891
986
        B"00101101" when B"1101111100",  -- INDEX 892
987
        B"01011000" when B"1101111101",  -- INDEX 893
988
        B"01110101" when B"1101111110",  -- INDEX 894
989
        B"01111111" when B"1101111111",  -- INDEX 895
990
        B"01110111" when B"1110000000",  -- INDEX 896
991
        B"01011100" when B"1110000001",  -- INDEX 897
992
        B"00110010" when B"1110000010",  -- INDEX 898
993
        B"00000001" when B"1110000011",  -- INDEX 899
994
        B"11001111" when B"1110000100",  -- INDEX 900
995
        B"10100101" when B"1110000101",  -- INDEX 901
996
        B"10001001" when B"1110000110",  -- INDEX 902
997
        B"10000000" when B"1110000111",  -- INDEX 903
998
        B"10001011" when B"1110001000",  -- INDEX 904
999
        B"10100111" when B"1110001001",  -- INDEX 905
1000
        B"11010010" when B"1110001010",  -- INDEX 906
1001
        B"00000100" when B"1110001011",  -- INDEX 907
1002
        B"00110101" when B"1110001100",  -- INDEX 908
1003
        B"01011110" when B"1110001101",  -- INDEX 909
1004
        B"01111000" when B"1110001110",  -- INDEX 910
1005
        B"01111111" when B"1110001111",  -- INDEX 911
1006
        B"01110100" when B"1110010000",  -- INDEX 912
1007
        B"01010110" when B"1110010001",  -- INDEX 913
1008
        B"00101010" when B"1110010010",  -- INDEX 914
1009
        B"11111000" when B"1110010011",  -- INDEX 915
1010
        B"11001000" when B"1110010100",  -- INDEX 916
1011
        B"10100000" when B"1110010101",  -- INDEX 917
1012
        B"10000111" when B"1110010110",  -- INDEX 918
1013
        B"10000000" when B"1110010111",  -- INDEX 919
1014
        B"10001110" when B"1110011000",  -- INDEX 920
1015
        B"10101101" when B"1110011001",  -- INDEX 921
1016
        B"11011001" when B"1110011010",  -- INDEX 922
1017
        B"00001100" when B"1110011011",  -- INDEX 923
1018
        B"00111100" when B"1110011100",  -- INDEX 924
1019
        B"01100011" when B"1110011101",  -- INDEX 925
1020
        B"01111011" when B"1110011110",  -- INDEX 926
1021
        B"01111111" when B"1110011111",  -- INDEX 927
1022
        B"01110000" when B"1110100000",  -- INDEX 928
1023
        B"01010000" when B"1110100001",  -- INDEX 929
1024
        B"00100011" when B"1110100010",  -- INDEX 930
1025
        B"11110000" when B"1110100011",  -- INDEX 931
1026
        B"11000001" when B"1110100100",  -- INDEX 932
1027
        B"10011011" when B"1110100101",  -- INDEX 933
1028
        B"10000100" when B"1110100110",  -- INDEX 934
1029
        B"10000001" when B"1110100111",  -- INDEX 935
1030
        B"10010010" when B"1110101000",  -- INDEX 936
1031
        B"10110100" when B"1110101001",  -- INDEX 937
1032
        B"11100001" when B"1110101010",  -- INDEX 938
1033
        B"00010100" when B"1110101011",  -- INDEX 939
1034
        B"01000011" when B"1110101100",  -- INDEX 940
1035
        B"01101000" when B"1110101101",  -- INDEX 941
1036
        B"01111101" when B"1110101110",  -- INDEX 942
1037
        B"01111110" when B"1110101111",  -- INDEX 943
1038
        B"01101100" when B"1110110000",  -- INDEX 944
1039
        B"01001001" when B"1110110001",  -- INDEX 945
1040
        B"00011011" when B"1110110010",  -- INDEX 946
1041
        B"11101001" when B"1110110011",  -- INDEX 947
1042
        B"10111010" when B"1110110100",  -- INDEX 948
1043
        B"10010110" when B"1110110101",  -- INDEX 949
1044
        B"10000010" when B"1110110110",  -- INDEX 950
1045
        B"10000011" when B"1110110111",  -- INDEX 951
1046
        B"10010110" when B"1110111000",  -- INDEX 952
1047
        B"10111010" when B"1110111001",  -- INDEX 953
1048
        B"11101001" when B"1110111010",  -- INDEX 954
1049
        B"00011011" when B"1110111011",  -- INDEX 955
1050
        B"01001010" when B"1110111100",  -- INDEX 956
1051
        B"01101100" when B"1110111101",  -- INDEX 957
1052
        B"01111110" when B"1110111110",  -- INDEX 958
1053
        B"01111101" when B"1110111111",  -- INDEX 959
1054
        B"01101000" when B"1111000000",  -- INDEX 960
1055
        B"01000010" when B"1111000001",  -- INDEX 961
1056
        B"00010011" when B"1111000010",  -- INDEX 962
1057
        B"11100001" when B"1111000011",  -- INDEX 963
1058
        B"10110011" when B"1111000100",  -- INDEX 964
1059
        B"10010010" when B"1111000101",  -- INDEX 965
1060
        B"10000001" when B"1111000110",  -- INDEX 966
1061
        B"10000100" when B"1111000111",  -- INDEX 967
1062
        B"10011011" when B"1111001000",  -- INDEX 968
1063
        B"11000001" when B"1111001001",  -- INDEX 969
1064
        B"11110001" when B"1111001010",  -- INDEX 970
1065
        B"00100011" when B"1111001011",  -- INDEX 971
1066
        B"01010000" when B"1111001100",  -- INDEX 972
1067
        B"01110000" when B"1111001101",  -- INDEX 973
1068
        B"01111111" when B"1111001110",  -- INDEX 974
1069
        B"01111010" when B"1111001111",  -- INDEX 975
1070
        B"01100011" when B"1111010000",  -- INDEX 976
1071
        B"00111011" when B"1111010001",  -- INDEX 977
1072
        B"00001011" when B"1111010010",  -- INDEX 978
1073
        B"11011001" when B"1111010011",  -- INDEX 979
1074
        B"10101101" when B"1111010100",  -- INDEX 980
1075
        B"10001110" when B"1111010101",  -- INDEX 981
1076
        B"10000000" when B"1111010110",  -- INDEX 982
1077
        B"10000111" when B"1111010111",  -- INDEX 983
1078
        B"10100000" when B"1111011000",  -- INDEX 984
1079
        B"11001000" when B"1111011001",  -- INDEX 985
1080
        B"11111001" when B"1111011010",  -- INDEX 986
1081
        B"00101011" when B"1111011011",  -- INDEX 987
1082
        B"01010110" when B"1111011100",  -- INDEX 988
1083
        B"01110100" when B"1111011101",  -- INDEX 989
1084
        B"01111111" when B"1111011110",  -- INDEX 990
1085
        B"01111000" when B"1111011111",  -- INDEX 991
1086
        B"01011101" when B"1111100000",  -- INDEX 992
1087
        B"00110100" when B"1111100001",  -- INDEX 993
1088
        B"00000011" when B"1111100010",  -- INDEX 994
1089
        B"11010001" when B"1111100011",  -- INDEX 995
1090
        B"10100111" when B"1111100100",  -- INDEX 996
1091
        B"10001010" when B"1111100101",  -- INDEX 997
1092
        B"10000000" when B"1111100110",  -- INDEX 998
1093
        B"10001010" when B"1111100111",  -- INDEX 999
1094
 
1095
-- END INPUT FM SIGNAL
1096
        B"00000000" when others;
1097
 
1098
        with counter (09 downto 0) select
1099
        test_signal_fmTri_int <=
1100
-- START INPUT FM-TRI SIGNAL
1101
 
1102
        B"01111111" when B"0000000000",  -- INDEX 0
1103
        B"01110110" when B"0000000001",  -- INDEX 1
1104
        B"01011011" when B"0000000010",  -- INDEX 2
1105
        B"00110010" when B"0000000011",  -- INDEX 3
1106
        B"00000010" when B"0000000100",  -- INDEX 4
1107
        B"11010001" when B"0000000101",  -- INDEX 5
1108
        B"10101000" when B"0000000110",  -- INDEX 6
1109
        B"10001011" when B"0000000111",  -- INDEX 7
1110
        B"10000000" when B"0000001000",  -- INDEX 8
1111
        B"10001000" when B"0000001001",  -- INDEX 9
1112
        B"10100010" when B"0000001010",  -- INDEX 10
1113
        B"11001010" when B"0000001011",  -- INDEX 11
1114
        B"11111010" when B"0000001100",  -- INDEX 12
1115
        B"00101011" when B"0000001101",  -- INDEX 13
1116
        B"01010110" when B"0000001110",  -- INDEX 14
1117
        B"01110011" when B"0000001111",  -- INDEX 15
1118
        B"01111111" when B"0000010000",  -- INDEX 16
1119
        B"01111001" when B"0000010001",  -- INDEX 17
1120
        B"01100000" when B"0000010010",  -- INDEX 18
1121
        B"00111001" when B"0000010011",  -- INDEX 19
1122
        B"00001001" when B"0000010100",  -- INDEX 20
1123
        B"11011000" when B"0000010101",  -- INDEX 21
1124
        B"10101101" when B"0000010110",  -- INDEX 22
1125
        B"10001110" when B"0000010111",  -- INDEX 23
1126
        B"10000000" when B"0000011000",  -- INDEX 24
1127
        B"10000110" when B"0000011001",  -- INDEX 25
1128
        B"10011110" when B"0000011010",  -- INDEX 26
1129
        B"11000100" when B"0000011011",  -- INDEX 27
1130
        B"11110100" when B"0000011100",  -- INDEX 28
1131
        B"00100101" when B"0000011101",  -- INDEX 29
1132
        B"01010001" when B"0000011110",  -- INDEX 30
1133
        B"01110001" when B"0000011111",  -- INDEX 31
1134
        B"01111111" when B"0000100000",  -- INDEX 32
1135
        B"01111011" when B"0000100001",  -- INDEX 33
1136
        B"01100100" when B"0000100010",  -- INDEX 34
1137
        B"00111110" when B"0000100011",  -- INDEX 35
1138
        B"00001111" when B"0000100100",  -- INDEX 36
1139
        B"11011101" when B"0000100101",  -- INDEX 37
1140
        B"10110001" when B"0000100110",  -- INDEX 38
1141
        B"10010001" when B"0000100111",  -- INDEX 39
1142
        B"10000001" when B"0000101000",  -- INDEX 40
1143
        B"10000100" when B"0000101001",  -- INDEX 41
1144
        B"10011010" when B"0000101010",  -- INDEX 42
1145
        B"11000000" when B"0000101011",  -- INDEX 43
1146
        B"11101111" when B"0000101100",  -- INDEX 44
1147
        B"00100000" when B"0000101101",  -- INDEX 45
1148
        B"01001101" when B"0000101110",  -- INDEX 46
1149
        B"01101110" when B"0000101111",  -- INDEX 47
1150
        B"01111111" when B"0000110000",  -- INDEX 48
1151
        B"01111100" when B"0000110001",  -- INDEX 49
1152
        B"01100111" when B"0000110010",  -- INDEX 50
1153
        B"01000010" when B"0000110011",  -- INDEX 51
1154
        B"00010011" when B"0000110100",  -- INDEX 52
1155
        B"11100010" when B"0000110101",  -- INDEX 53
1156
        B"10110101" when B"0000110110",  -- INDEX 54
1157
        B"10010011" when B"0000110111",  -- INDEX 55
1158
        B"10000010" when B"0000111000",  -- INDEX 56
1159
        B"10000011" when B"0000111001",  -- INDEX 57
1160
        B"10011000" when B"0000111010",  -- INDEX 58
1161
        B"10111100" when B"0000111011",  -- INDEX 59
1162
        B"11101011" when B"0000111100",  -- INDEX 60
1163
        B"00011101" when B"0000111101",  -- INDEX 61
1164
        B"01001010" when B"0000111110",  -- INDEX 62
1165
        B"01101100" when B"0000111111",  -- INDEX 63
1166
        B"01111110" when B"0001000000",  -- INDEX 64
1167
        B"01111101" when B"0001000001",  -- INDEX 65
1168
        B"01101001" when B"0001000010",  -- INDEX 66
1169
        B"01000101" when B"0001000011",  -- INDEX 67
1170
        B"00010111" when B"0001000100",  -- INDEX 68
1171
        B"11100101" when B"0001000101",  -- INDEX 69
1172
        B"10110111" when B"0001000110",  -- INDEX 70
1173
        B"10010101" when B"0001000111",  -- INDEX 71
1174
        B"10000010" when B"0001001000",  -- INDEX 72
1175
        B"10000011" when B"0001001001",  -- INDEX 73
1176
        B"10010110" when B"0001001010",  -- INDEX 74
1177
        B"10111010" when B"0001001011",  -- INDEX 75
1178
        B"11101000" when B"0001001100",  -- INDEX 76
1179
        B"00011010" when B"0001001101",  -- INDEX 77
1180
        B"01001000" when B"0001001110",  -- INDEX 78
1181
        B"01101011" when B"0001001111",  -- INDEX 79
1182
        B"01111110" when B"0001010000",  -- INDEX 80
1183
        B"01111101" when B"0001010001",  -- INDEX 81
1184
        B"01101010" when B"0001010010",  -- INDEX 82
1185
        B"01000111" when B"0001010011",  -- INDEX 83
1186
        B"00011001" when B"0001010100",  -- INDEX 84
1187
        B"11100111" when B"0001010101",  -- INDEX 85
1188
        B"10111001" when B"0001010110",  -- INDEX 86
1189
        B"10010101" when B"0001010111",  -- INDEX 87
1190
        B"10000010" when B"0001011000",  -- INDEX 88
1191
        B"10000010" when B"0001011001",  -- INDEX 89
1192
        B"10010110" when B"0001011010",  -- INDEX 90
1193
        B"10111001" when B"0001011011",  -- INDEX 91
1194
        B"11100111" when B"0001011100",  -- INDEX 92
1195
        B"00011001" when B"0001011101",  -- INDEX 93
1196
        B"01000111" when B"0001011110",  -- INDEX 94
1197
        B"01101010" when B"0001011111",  -- INDEX 95
1198
        B"01111110" when B"0001100000",  -- INDEX 96
1199
        B"01111110" when B"0001100001",  -- INDEX 97
1200
        B"01101011" when B"0001100010",  -- INDEX 98
1201
        B"01000111" when B"0001100011",  -- INDEX 99
1202
        B"00011001" when B"0001100100",  -- INDEX 100
1203
        B"11100111" when B"0001100101",  -- INDEX 101
1204
        B"10111001" when B"0001100110",  -- INDEX 102
1205
        B"10010110" when B"0001100111",  -- INDEX 103
1206
        B"10000011" when B"0001101000",  -- INDEX 104
1207
        B"10000010" when B"0001101001",  -- INDEX 105
1208
        B"10010101" when B"0001101010",  -- INDEX 106
1209
        B"10111001" when B"0001101011",  -- INDEX 107
1210
        B"11100111" when B"0001101100",  -- INDEX 108
1211
        B"00011001" when B"0001101101",  -- INDEX 109
1212
        B"01000111" when B"0001101110",  -- INDEX 110
1213
        B"01101010" when B"0001101111",  -- INDEX 111
1214
        B"01111110" when B"0001110000",  -- INDEX 112
1215
        B"01111110" when B"0001110001",  -- INDEX 113
1216
        B"01101010" when B"0001110010",  -- INDEX 114
1217
        B"01000111" when B"0001110011",  -- INDEX 115
1218
        B"00011001" when B"0001110100",  -- INDEX 116
1219
        B"11100111" when B"0001110101",  -- INDEX 117
1220
        B"10111000" when B"0001110110",  -- INDEX 118
1221
        B"10010101" when B"0001110111",  -- INDEX 119
1222
        B"10000010" when B"0001111000",  -- INDEX 120
1223
        B"10000011" when B"0001111001",  -- INDEX 121
1224
        B"10010110" when B"0001111010",  -- INDEX 122
1225
        B"10111010" when B"0001111011",  -- INDEX 123
1226
        B"11101000" when B"0001111100",  -- INDEX 124
1227
        B"00011010" when B"0001111101",  -- INDEX 125
1228
        B"01001000" when B"0001111110",  -- INDEX 126
1229
        B"01101011" when B"0001111111",  -- INDEX 127
1230
        B"01111110" when B"0010000000",  -- INDEX 128
1231
        B"01111101" when B"0010000001",  -- INDEX 129
1232
        B"01101001" when B"0010000010",  -- INDEX 130
1233
        B"01000101" when B"0010000011",  -- INDEX 131
1234
        B"00010111" when B"0010000100",  -- INDEX 132
1235
        B"11100101" when B"0010000101",  -- INDEX 133
1236
        B"10110111" when B"0010000110",  -- INDEX 134
1237
        B"10010100" when B"0010000111",  -- INDEX 135
1238
        B"10000010" when B"0010001000",  -- INDEX 136
1239
        B"10000011" when B"0010001001",  -- INDEX 137
1240
        B"10010111" when B"0010001010",  -- INDEX 138
1241
        B"10111100" when B"0010001011",  -- INDEX 139
1242
        B"11101011" when B"0010001100",  -- INDEX 140
1243
        B"00011101" when B"0010001101",  -- INDEX 141
1244
        B"01001010" when B"0010001110",  -- INDEX 142
1245
        B"01101101" when B"0010001111",  -- INDEX 143
1246
        B"01111110" when B"0010010000",  -- INDEX 144
1247
        B"01111101" when B"0010010001",  -- INDEX 145
1248
        B"01101000" when B"0010010010",  -- INDEX 146
1249
        B"01000011" when B"0010010011",  -- INDEX 147
1250
        B"00010100" when B"0010010100",  -- INDEX 148
1251
        B"11100010" when B"0010010101",  -- INDEX 149
1252
        B"10110100" when B"0010010110",  -- INDEX 150
1253
        B"10010010" when B"0010010111",  -- INDEX 151
1254
        B"10000001" when B"0010011000",  -- INDEX 152
1255
        B"10000100" when B"0010011001",  -- INDEX 153
1256
        B"10011010" when B"0010011010",  -- INDEX 154
1257
        B"10111111" when B"0010011011",  -- INDEX 155
1258
        B"11101110" when B"0010011100",  -- INDEX 156
1259
        B"00100001" when B"0010011101",  -- INDEX 157
1260
        B"01001110" when B"0010011110",  -- INDEX 158
1261
        B"01101111" when B"0010011111",  -- INDEX 159
1262
        B"01111111" when B"0010100000",  -- INDEX 160
1263
        B"01111011" when B"0010100001",  -- INDEX 161
1264
        B"01100101" when B"0010100010",  -- INDEX 162
1265
        B"00111111" when B"0010100011",  -- INDEX 163
1266
        B"00001111" when B"0010100100",  -- INDEX 164
1267
        B"11011101" when B"0010100101",  -- INDEX 165
1268
        B"10110000" when B"0010100110",  -- INDEX 166
1269
        B"10010000" when B"0010100111",  -- INDEX 167
1270
        B"10000001" when B"0010101000",  -- INDEX 168
1271
        B"10000101" when B"0010101001",  -- INDEX 169
1272
        B"10011101" when B"0010101010",  -- INDEX 170
1273
        B"11000011" when B"0010101011",  -- INDEX 171
1274
        B"11110011" when B"0010101100",  -- INDEX 172
1275
        B"00100110" when B"0010101101",  -- INDEX 173
1276
        B"01010010" when B"0010101110",  -- INDEX 174
1277
        B"01110001" when B"0010101111",  -- INDEX 175
1278
        B"01111111" when B"0010110000",  -- INDEX 176
1279
        B"01111010" when B"0010110001",  -- INDEX 177
1280
        B"01100001" when B"0010110010",  -- INDEX 178
1281
        B"00111010" when B"0010110011",  -- INDEX 179
1282
        B"00001001" when B"0010110100",  -- INDEX 180
1283
        B"11011000" when B"0010110101",  -- INDEX 181
1284
        B"10101100" when B"0010110110",  -- INDEX 182
1285
        B"10001101" when B"0010110111",  -- INDEX 183
1286
        B"10000000" when B"0010111000",  -- INDEX 184
1287
        B"10000111" when B"0010111001",  -- INDEX 185
1288
        B"10100001" when B"0010111010",  -- INDEX 186
1289
        B"11001001" when B"0010111011",  -- INDEX 187
1290
        B"11111010" when B"0010111100",  -- INDEX 188
1291
        B"00101100" when B"0010111101",  -- INDEX 189
1292
        B"01010111" when B"0010111110",  -- INDEX 190
1293
        B"01110100" when B"0010111111",  -- INDEX 191
1294
        B"01111111" when B"0011000000",  -- INDEX 192
1295
        B"01111000" when B"0011000001",  -- INDEX 193
1296
        B"01011101" when B"0011000010",  -- INDEX 194
1297
        B"00110100" when B"0011000011",  -- INDEX 195
1298
        B"00000010" when B"0011000100",  -- INDEX 196
1299
        B"11010001" when B"0011000101",  -- INDEX 197
1300
        B"10100111" when B"0011000110",  -- INDEX 198
1301
        B"10001010" when B"0011000111",  -- INDEX 199
1302
        B"10000000" when B"0011001000",  -- INDEX 200
1303
        B"10001010" when B"0011001001",  -- INDEX 201
1304
        B"10100110" when B"0011001010",  -- INDEX 202
1305
        B"11010000" when B"0011001011",  -- INDEX 203
1306
        B"00000001" when B"0011001100",  -- INDEX 204
1307
        B"00110011" when B"0011001101",  -- INDEX 205
1308
        B"01011100" when B"0011001110",  -- INDEX 206
1309
        B"01110111" when B"0011001111",  -- INDEX 207
1310
        B"01111111" when B"0011010000",  -- INDEX 208
1311
        B"01110101" when B"0011010001",  -- INDEX 209
1312
        B"01010111" when B"0011010010",  -- INDEX 210
1313
        B"00101101" when B"0011010011",  -- INDEX 211
1314
        B"11111011" when B"0011010100",  -- INDEX 212
1315
        B"11001010" when B"0011010101",  -- INDEX 213
1316
        B"10100001" when B"0011010110",  -- INDEX 214
1317
        B"10000111" when B"0011010111",  -- INDEX 215
1318
        B"10000000" when B"0011011000",  -- INDEX 216
1319
        B"10001101" when B"0011011001",  -- INDEX 217
1320
        B"10101011" when B"0011011010",  -- INDEX 218
1321
        B"11010111" when B"0011011011",  -- INDEX 219
1322
        B"00001001" when B"0011011100",  -- INDEX 220
1323
        B"00111001" when B"0011011101",  -- INDEX 221
1324
        B"01100001" when B"0011011110",  -- INDEX 222
1325
        B"01111010" when B"0011011111",  -- INDEX 223
1326
        B"01111111" when B"0011100000",  -- INDEX 224
1327
        B"01110010" when B"0011100001",  -- INDEX 225
1328
        B"01010010" when B"0011100010",  -- INDEX 226
1329
        B"00100110" when B"0011100011",  -- INDEX 227
1330
        B"11110100" when B"0011100100",  -- INDEX 228
1331
        B"11000100" when B"0011100101",  -- INDEX 229
1332
        B"10011101" when B"0011100110",  -- INDEX 230
1333
        B"10000101" when B"0011100111",  -- INDEX 231
1334
        B"10000001" when B"0011101000",  -- INDEX 232
1335
        B"10010000" when B"0011101001",  -- INDEX 233
1336
        B"10110000" when B"0011101010",  -- INDEX 234
1337
        B"11011100" when B"0011101011",  -- INDEX 235
1338
        B"00001110" when B"0011101100",  -- INDEX 236
1339
        B"00111110" when B"0011101101",  -- INDEX 237
1340
        B"01100101" when B"0011101110",  -- INDEX 238
1341
        B"01111011" when B"0011101111",  -- INDEX 239
1342
        B"01111111" when B"0011110000",  -- INDEX 240
1343
        B"01101111" when B"0011110001",  -- INDEX 241
1344
        B"01001110" when B"0011110010",  -- INDEX 242
1345
        B"00100001" when B"0011110011",  -- INDEX 243
1346
        B"11101111" when B"0011110100",  -- INDEX 244
1347
        B"11000000" when B"0011110101",  -- INDEX 245
1348
        B"10011010" when B"0011110110",  -- INDEX 246
1349
        B"10000100" when B"0011110111",  -- INDEX 247
1350
        B"10000001" when B"0011111000",  -- INDEX 248
1351
        B"10010010" when B"0011111001",  -- INDEX 249
1352
        B"10110011" when B"0011111010",  -- INDEX 250
1353
        B"11100001" when B"0011111011",  -- INDEX 251
1354
        B"00010011" when B"0011111100",  -- INDEX 252
1355
        B"01000010" when B"0011111101",  -- INDEX 253
1356
        B"01100111" when B"0011111110",  -- INDEX 254
1357
        B"01111100" when B"0011111111",  -- INDEX 255
1358
        B"01111110" when B"0100000000",  -- INDEX 256
1359
        B"01101101" when B"0100000001",  -- INDEX 257
1360
        B"01001011" when B"0100000010",  -- INDEX 258
1361
        B"00011101" when B"0100000011",  -- INDEX 259
1362
        B"11101011" when B"0100000100",  -- INDEX 260
1363
        B"10111100" when B"0100000101",  -- INDEX 261
1364
        B"10011000" when B"0100000110",  -- INDEX 262
1365
        B"10000011" when B"0100000111",  -- INDEX 263
1366
        B"10000010" when B"0100001000",  -- INDEX 264
1367
        B"10010100" when B"0100001001",  -- INDEX 265
1368
        B"10110110" when B"0100001010",  -- INDEX 266
1369
        B"11100100" when B"0100001011",  -- INDEX 267
1370
        B"00010110" when B"0100001100",  -- INDEX 268
1371
        B"01000101" when B"0100001101",  -- INDEX 269
1372
        B"01101001" when B"0100001110",  -- INDEX 270
1373
        B"01111101" when B"0100001111",  -- INDEX 271
1374
        B"01111110" when B"0100010000",  -- INDEX 272
1375
        B"01101100" when B"0100010001",  -- INDEX 273
1376
        B"01001001" when B"0100010010",  -- INDEX 274
1377
        B"00011011" when B"0100010011",  -- INDEX 275
1378
        B"11101001" when B"0100010100",  -- INDEX 276
1379
        B"10111010" when B"0100010101",  -- INDEX 277
1380
        B"10010110" when B"0100010110",  -- INDEX 278
1381
        B"10000011" when B"0100010111",  -- INDEX 279
1382
        B"10000010" when B"0100011000",  -- INDEX 280
1383
        B"10010101" when B"0100011001",  -- INDEX 281
1384
        B"10111000" when B"0100011010",  -- INDEX 282
1385
        B"11100110" when B"0100011011",  -- INDEX 283
1386
        B"00011000" when B"0100011100",  -- INDEX 284
1387
        B"01000110" when B"0100011101",  -- INDEX 285
1388
        B"01101010" when B"0100011110",  -- INDEX 286
1389
        B"01111101" when B"0100011111",  -- INDEX 287
1390
        B"01111110" when B"0100100000",  -- INDEX 288
1391
        B"01101011" when B"0100100001",  -- INDEX 289
1392
        B"01001000" when B"0100100010",  -- INDEX 290
1393
        B"00011001" when B"0100100011",  -- INDEX 291
1394
        B"11100111" when B"0100100100",  -- INDEX 292
1395
        B"10111001" when B"0100100101",  -- INDEX 293
1396
        B"10010110" when B"0100100110",  -- INDEX 294
1397
        B"10000011" when B"0100100111",  -- INDEX 295
1398
        B"10000010" when B"0100101000",  -- INDEX 296
1399
        B"10010101" when B"0100101001",  -- INDEX 297
1400
        B"10111001" when B"0100101010",  -- INDEX 298
1401
        B"11100111" when B"0100101011",  -- INDEX 299
1402
        B"00011001" when B"0100101100",  -- INDEX 300
1403
        B"01000111" when B"0100101101",  -- INDEX 301
1404
        B"01101010" when B"0100101110",  -- INDEX 302
1405
        B"01111101" when B"0100101111",  -- INDEX 303
1406
        B"01111110" when B"0100110000",  -- INDEX 304
1407
        B"01101011" when B"0100110001",  -- INDEX 305
1408
        B"01000111" when B"0100110010",  -- INDEX 306
1409
        B"00011001" when B"0100110011",  -- INDEX 307
1410
        B"11100111" when B"0100110100",  -- INDEX 308
1411
        B"10111001" when B"0100110101",  -- INDEX 309
1412
        B"10010110" when B"0100110110",  -- INDEX 310
1413
        B"10000011" when B"0100110111",  -- INDEX 311
1414
        B"10000010" when B"0100111000",  -- INDEX 312
1415
        B"10010101" when B"0100111001",  -- INDEX 313
1416
        B"10111000" when B"0100111010",  -- INDEX 314
1417
        B"11100110" when B"0100111011",  -- INDEX 315
1418
        B"00011000" when B"0100111100",  -- INDEX 316
1419
        B"01000110" when B"0100111101",  -- INDEX 317
1420
        B"01101010" when B"0100111110",  -- INDEX 318
1421
        B"01111101" when B"0100111111",  -- INDEX 319
1422
        B"01111110" when B"0101000000",  -- INDEX 320
1423
        B"01101011" when B"0101000001",  -- INDEX 321
1424
        B"01001000" when B"0101000010",  -- INDEX 322
1425
        B"00011010" when B"0101000011",  -- INDEX 323
1426
        B"11101001" when B"0101000100",  -- INDEX 324
1427
        B"10111010" when B"0101000101",  -- INDEX 325
1428
        B"10010111" when B"0101000110",  -- INDEX 326
1429
        B"10000011" when B"0101000111",  -- INDEX 327
1430
        B"10000010" when B"0101001000",  -- INDEX 328
1431
        B"10010100" when B"0101001001",  -- INDEX 329
1432
        B"10110111" when B"0101001010",  -- INDEX 330
1433
        B"11100100" when B"0101001011",  -- INDEX 331
1434
        B"00010110" when B"0101001100",  -- INDEX 332
1435
        B"01000101" when B"0101001101",  -- INDEX 333
1436
        B"01101001" when B"0101001110",  -- INDEX 334
1437
        B"01111101" when B"0101001111",  -- INDEX 335
1438
        B"01111110" when B"0101010000",  -- INDEX 336
1439
        B"01101100" when B"0101010001",  -- INDEX 337
1440
        B"01001010" when B"0101010010",  -- INDEX 338
1441
        B"00011101" when B"0101010011",  -- INDEX 339
1442
        B"11101011" when B"0101010100",  -- INDEX 340
1443
        B"10111101" when B"0101010101",  -- INDEX 341
1444
        B"10011000" when B"0101010110",  -- INDEX 342
1445
        B"10000011" when B"0101010111",  -- INDEX 343
1446
        B"10000010" when B"0101011000",  -- INDEX 344
1447
        B"10010011" when B"0101011001",  -- INDEX 345
1448
        B"10110100" when B"0101011010",  -- INDEX 346
1449
        B"11100001" when B"0101011011",  -- INDEX 347
1450
        B"00010011" when B"0101011100",  -- INDEX 348
1451
        B"01000010" when B"0101011101",  -- INDEX 349
1452
        B"01100111" when B"0101011110",  -- INDEX 350
1453
        B"01111100" when B"0101011111",  -- INDEX 351
1454
        B"01111111" when B"0101100000",  -- INDEX 352
1455
        B"01101110" when B"0101100001",  -- INDEX 353
1456
        B"01001101" when B"0101100010",  -- INDEX 354
1457
        B"00100000" when B"0101100011",  -- INDEX 355
1458
        B"11101111" when B"0101100100",  -- INDEX 356
1459
        B"11000000" when B"0101100101",  -- INDEX 357
1460
        B"10011011" when B"0101100110",  -- INDEX 358
1461
        B"10000100" when B"0101100111",  -- INDEX 359
1462
        B"10000001" when B"0101101000",  -- INDEX 360
1463
        B"10010001" when B"0101101001",  -- INDEX 361
1464
        B"10110001" when B"0101101010",  -- INDEX 362
1465
        B"11011101" when B"0101101011",  -- INDEX 363
1466
        B"00001111" when B"0101101100",  -- INDEX 364
1467
        B"00111110" when B"0101101101",  -- INDEX 365
1468
        B"01100100" when B"0101101110",  -- INDEX 366
1469
        B"01111011" when B"0101101111",  -- INDEX 367
1470
        B"01111111" when B"0101110000",  -- INDEX 368
1471
        B"01110001" when B"0101110001",  -- INDEX 369
1472
        B"01010001" when B"0101110010",  -- INDEX 370
1473
        B"00100101" when B"0101110011",  -- INDEX 371
1474
        B"11110100" when B"0101110100",  -- INDEX 372
1475
        B"11000100" when B"0101110101",  -- INDEX 373
1476
        B"10011110" when B"0101110110",  -- INDEX 374
1477
        B"10000110" when B"0101110111",  -- INDEX 375
1478
        B"10000000" when B"0101111000",  -- INDEX 376
1479
        B"10001110" when B"0101111001",  -- INDEX 377
1480
        B"10101101" when B"0101111010",  -- INDEX 378
1481
        B"11011000" when B"0101111011",  -- INDEX 379
1482
        B"00001001" when B"0101111100",  -- INDEX 380
1483
        B"00111001" when B"0101111101",  -- INDEX 381
1484
        B"01100000" when B"0101111110",  -- INDEX 382
1485
        B"01111001" when B"0101111111",  -- INDEX 383
1486
        B"01111111" when B"0110000000",  -- INDEX 384
1487
        B"01110011" when B"0110000001",  -- INDEX 385
1488
        B"01010110" when B"0110000010",  -- INDEX 386
1489
        B"00101011" when B"0110000011",  -- INDEX 387
1490
        B"11111010" when B"0110000100",  -- INDEX 388
1491
        B"11001010" when B"0110000101",  -- INDEX 389
1492
        B"10100010" when B"0110000110",  -- INDEX 390
1493
        B"10001000" when B"0110000111",  -- INDEX 391
1494
        B"10000000" when B"0110001000",  -- INDEX 392
1495
        B"10001011" when B"0110001001",  -- INDEX 393
1496
        B"10101000" when B"0110001010",  -- INDEX 394
1497
        B"11010001" when B"0110001011",  -- INDEX 395
1498
        B"00000010" when B"0110001100",  -- INDEX 396
1499
        B"00110010" when B"0110001101",  -- INDEX 397
1500
        B"01011011" when B"0110001110",  -- INDEX 398
1501
        B"01110110" when B"0110001111",  -- INDEX 399
1502
        B"01111111" when B"0110010000",  -- INDEX 400
1503
        B"01110110" when B"0110010001",  -- INDEX 401
1504
        B"01011011" when B"0110010010",  -- INDEX 402
1505
        B"00110010" when B"0110010011",  -- INDEX 403
1506
        B"00000010" when B"0110010100",  -- INDEX 404
1507
        B"11010001" when B"0110010101",  -- INDEX 405
1508
        B"10101000" when B"0110010110",  -- INDEX 406
1509
        B"10001011" when B"0110010111",  -- INDEX 407
1510
        B"10000000" when B"0110011000",  -- INDEX 408
1511
        B"10001000" when B"0110011001",  -- INDEX 409
1512
        B"10100010" when B"0110011010",  -- INDEX 410
1513
        B"11001010" when B"0110011011",  -- INDEX 411
1514
        B"11111010" when B"0110011100",  -- INDEX 412
1515
        B"00101011" when B"0110011101",  -- INDEX 413
1516
        B"01010110" when B"0110011110",  -- INDEX 414
1517
        B"01110011" when B"0110011111",  -- INDEX 415
1518
        B"01111111" when B"0110100000",  -- INDEX 416
1519
        B"01111001" when B"0110100001",  -- INDEX 417
1520
        B"01100000" when B"0110100010",  -- INDEX 418
1521
        B"00111001" when B"0110100011",  -- INDEX 419
1522
        B"00001001" when B"0110100100",  -- INDEX 420
1523
        B"11011000" when B"0110100101",  -- INDEX 421
1524
        B"10101101" when B"0110100110",  -- INDEX 422
1525
        B"10001110" when B"0110100111",  -- INDEX 423
1526
        B"10000000" when B"0110101000",  -- INDEX 424
1527
        B"10000110" when B"0110101001",  -- INDEX 425
1528
        B"10011110" when B"0110101010",  -- INDEX 426
1529
        B"11000100" when B"0110101011",  -- INDEX 427
1530
        B"11110100" when B"0110101100",  -- INDEX 428
1531
        B"00100101" when B"0110101101",  -- INDEX 429
1532
        B"01010001" when B"0110101110",  -- INDEX 430
1533
        B"01110001" when B"0110101111",  -- INDEX 431
1534
        B"01111111" when B"0110110000",  -- INDEX 432
1535
        B"01111011" when B"0110110001",  -- INDEX 433
1536
        B"01100100" when B"0110110010",  -- INDEX 434
1537
        B"00111110" when B"0110110011",  -- INDEX 435
1538
        B"00001111" when B"0110110100",  -- INDEX 436
1539
        B"11011101" when B"0110110101",  -- INDEX 437
1540
        B"10110001" when B"0110110110",  -- INDEX 438
1541
        B"10010001" when B"0110110111",  -- INDEX 439
1542
        B"10000001" when B"0110111000",  -- INDEX 440
1543
        B"10000100" when B"0110111001",  -- INDEX 441
1544
        B"10011010" when B"0110111010",  -- INDEX 442
1545
        B"11000000" when B"0110111011",  -- INDEX 443
1546
        B"11101111" when B"0110111100",  -- INDEX 444
1547
        B"00100000" when B"0110111101",  -- INDEX 445
1548
        B"01001101" when B"0110111110",  -- INDEX 446
1549
        B"01101110" when B"0110111111",  -- INDEX 447
1550
        B"01111111" when B"0111000000",  -- INDEX 448
1551
        B"01111100" when B"0111000001",  -- INDEX 449
1552
        B"01100111" when B"0111000010",  -- INDEX 450
1553
        B"01000010" when B"0111000011",  -- INDEX 451
1554
        B"00010011" when B"0111000100",  -- INDEX 452
1555
        B"11100010" when B"0111000101",  -- INDEX 453
1556
        B"10110101" when B"0111000110",  -- INDEX 454
1557
        B"10010011" when B"0111000111",  -- INDEX 455
1558
        B"10000010" when B"0111001000",  -- INDEX 456
1559
        B"10000011" when B"0111001001",  -- INDEX 457
1560
        B"10011000" when B"0111001010",  -- INDEX 458
1561
        B"10111100" when B"0111001011",  -- INDEX 459
1562
        B"11101011" when B"0111001100",  -- INDEX 460
1563
        B"00011101" when B"0111001101",  -- INDEX 461
1564
        B"01001010" when B"0111001110",  -- INDEX 462
1565
        B"01101100" when B"0111001111",  -- INDEX 463
1566
        B"01111110" when B"0111010000",  -- INDEX 464
1567
        B"01111101" when B"0111010001",  -- INDEX 465
1568
        B"01101001" when B"0111010010",  -- INDEX 466
1569
        B"01000101" when B"0111010011",  -- INDEX 467
1570
        B"00010111" when B"0111010100",  -- INDEX 468
1571
        B"11100101" when B"0111010101",  -- INDEX 469
1572
        B"10110111" when B"0111010110",  -- INDEX 470
1573
        B"10010101" when B"0111010111",  -- INDEX 471
1574
        B"10000010" when B"0111011000",  -- INDEX 472
1575
        B"10000011" when B"0111011001",  -- INDEX 473
1576
        B"10010110" when B"0111011010",  -- INDEX 474
1577
        B"10111010" when B"0111011011",  -- INDEX 475
1578
        B"11101000" when B"0111011100",  -- INDEX 476
1579
        B"00011010" when B"0111011101",  -- INDEX 477
1580
        B"01001000" when B"0111011110",  -- INDEX 478
1581
        B"01101011" when B"0111011111",  -- INDEX 479
1582
        B"01111110" when B"0111100000",  -- INDEX 480
1583
        B"01111101" when B"0111100001",  -- INDEX 481
1584
        B"01101010" when B"0111100010",  -- INDEX 482
1585
        B"01000111" when B"0111100011",  -- INDEX 483
1586
        B"00011001" when B"0111100100",  -- INDEX 484
1587
        B"11100111" when B"0111100101",  -- INDEX 485
1588
        B"10111001" when B"0111100110",  -- INDEX 486
1589
        B"10010101" when B"0111100111",  -- INDEX 487
1590
        B"10000010" when B"0111101000",  -- INDEX 488
1591
        B"10000010" when B"0111101001",  -- INDEX 489
1592
        B"10010110" when B"0111101010",  -- INDEX 490
1593
        B"10111001" when B"0111101011",  -- INDEX 491
1594
        B"11100111" when B"0111101100",  -- INDEX 492
1595
        B"00011001" when B"0111101101",  -- INDEX 493
1596
        B"01000111" when B"0111101110",  -- INDEX 494
1597
        B"01101010" when B"0111101111",  -- INDEX 495
1598
        B"01111110" when B"0111110000",  -- INDEX 496
1599
        B"01111110" when B"0111110001",  -- INDEX 497
1600
        B"01101011" when B"0111110010",  -- INDEX 498
1601
        B"01000111" when B"0111110011",  -- INDEX 499
1602
        B"00011001" when B"0111110100",  -- INDEX 500
1603
        B"11100111" when B"0111110101",  -- INDEX 501
1604
        B"10111001" when B"0111110110",  -- INDEX 502
1605
        B"10010110" when B"0111110111",  -- INDEX 503
1606
        B"10000011" when B"0111111000",  -- INDEX 504
1607
        B"10000010" when B"0111111001",  -- INDEX 505
1608
        B"10010101" when B"0111111010",  -- INDEX 506
1609
        B"10111001" when B"0111111011",  -- INDEX 507
1610
        B"11100111" when B"0111111100",  -- INDEX 508
1611
        B"00011001" when B"0111111101",  -- INDEX 509
1612
        B"01000111" when B"0111111110",  -- INDEX 510
1613
        B"01101010" when B"0111111111",  -- INDEX 511
1614
        B"01111110" when B"1000000000",  -- INDEX 512
1615
        B"01111110" when B"1000000001",  -- INDEX 513
1616
        B"01101010" when B"1000000010",  -- INDEX 514
1617
        B"01000111" when B"1000000011",  -- INDEX 515
1618
        B"00011001" when B"1000000100",  -- INDEX 516
1619
        B"11100111" when B"1000000101",  -- INDEX 517
1620
        B"10111000" when B"1000000110",  -- INDEX 518
1621
        B"10010101" when B"1000000111",  -- INDEX 519
1622
        B"10000010" when B"1000001000",  -- INDEX 520
1623
        B"10000011" when B"1000001001",  -- INDEX 521
1624
        B"10010110" when B"1000001010",  -- INDEX 522
1625
        B"10111010" when B"1000001011",  -- INDEX 523
1626
        B"11101000" when B"1000001100",  -- INDEX 524
1627
        B"00011010" when B"1000001101",  -- INDEX 525
1628
        B"01001000" when B"1000001110",  -- INDEX 526
1629
        B"01101011" when B"1000001111",  -- INDEX 527
1630
        B"01111110" when B"1000010000",  -- INDEX 528
1631
        B"01111101" when B"1000010001",  -- INDEX 529
1632
        B"01101001" when B"1000010010",  -- INDEX 530
1633
        B"01000101" when B"1000010011",  -- INDEX 531
1634
        B"00010111" when B"1000010100",  -- INDEX 532
1635
        B"11100101" when B"1000010101",  -- INDEX 533
1636
        B"10110111" when B"1000010110",  -- INDEX 534
1637
        B"10010100" when B"1000010111",  -- INDEX 535
1638
        B"10000010" when B"1000011000",  -- INDEX 536
1639
        B"10000011" when B"1000011001",  -- INDEX 537
1640
        B"10010111" when B"1000011010",  -- INDEX 538
1641
        B"10111100" when B"1000011011",  -- INDEX 539
1642
        B"11101011" when B"1000011100",  -- INDEX 540
1643
        B"00011101" when B"1000011101",  -- INDEX 541
1644
        B"01001010" when B"1000011110",  -- INDEX 542
1645
        B"01101101" when B"1000011111",  -- INDEX 543
1646
        B"01111110" when B"1000100000",  -- INDEX 544
1647
        B"01111101" when B"1000100001",  -- INDEX 545
1648
        B"01101000" when B"1000100010",  -- INDEX 546
1649
        B"01000011" when B"1000100011",  -- INDEX 547
1650
        B"00010100" when B"1000100100",  -- INDEX 548
1651
        B"11100010" when B"1000100101",  -- INDEX 549
1652
        B"10110100" when B"1000100110",  -- INDEX 550
1653
        B"10010010" when B"1000100111",  -- INDEX 551
1654
        B"10000001" when B"1000101000",  -- INDEX 552
1655
        B"10000100" when B"1000101001",  -- INDEX 553
1656
        B"10011010" when B"1000101010",  -- INDEX 554
1657
        B"10111111" when B"1000101011",  -- INDEX 555
1658
        B"11101110" when B"1000101100",  -- INDEX 556
1659
        B"00100001" when B"1000101101",  -- INDEX 557
1660
        B"01001110" when B"1000101110",  -- INDEX 558
1661
        B"01101111" when B"1000101111",  -- INDEX 559
1662
        B"01111111" when B"1000110000",  -- INDEX 560
1663
        B"01111011" when B"1000110001",  -- INDEX 561
1664
        B"01100101" when B"1000110010",  -- INDEX 562
1665
        B"00111111" when B"1000110011",  -- INDEX 563
1666
        B"00001111" when B"1000110100",  -- INDEX 564
1667
        B"11011101" when B"1000110101",  -- INDEX 565
1668
        B"10110000" when B"1000110110",  -- INDEX 566
1669
        B"10010000" when B"1000110111",  -- INDEX 567
1670
        B"10000001" when B"1000111000",  -- INDEX 568
1671
        B"10000101" when B"1000111001",  -- INDEX 569
1672
        B"10011101" when B"1000111010",  -- INDEX 570
1673
        B"11000011" when B"1000111011",  -- INDEX 571
1674
        B"11110011" when B"1000111100",  -- INDEX 572
1675
        B"00100110" when B"1000111101",  -- INDEX 573
1676
        B"01010010" when B"1000111110",  -- INDEX 574
1677
        B"01110001" when B"1000111111",  -- INDEX 575
1678
        B"01111111" when B"1001000000",  -- INDEX 576
1679
        B"01111010" when B"1001000001",  -- INDEX 577
1680
        B"01100001" when B"1001000010",  -- INDEX 578
1681
        B"00111010" when B"1001000011",  -- INDEX 579
1682
        B"00001001" when B"1001000100",  -- INDEX 580
1683
        B"11011000" when B"1001000101",  -- INDEX 581
1684
        B"10101100" when B"1001000110",  -- INDEX 582
1685
        B"10001101" when B"1001000111",  -- INDEX 583
1686
        B"10000000" when B"1001001000",  -- INDEX 584
1687
        B"10000111" when B"1001001001",  -- INDEX 585
1688
        B"10100001" when B"1001001010",  -- INDEX 586
1689
        B"11001001" when B"1001001011",  -- INDEX 587
1690
        B"11111010" when B"1001001100",  -- INDEX 588
1691
        B"00101100" when B"1001001101",  -- INDEX 589
1692
        B"01010111" when B"1001001110",  -- INDEX 590
1693
        B"01110100" when B"1001001111",  -- INDEX 591
1694
        B"01111111" when B"1001010000",  -- INDEX 592
1695
        B"01111000" when B"1001010001",  -- INDEX 593
1696
        B"01011101" when B"1001010010",  -- INDEX 594
1697
        B"00110100" when B"1001010011",  -- INDEX 595
1698
        B"00000010" when B"1001010100",  -- INDEX 596
1699
        B"11010001" when B"1001010101",  -- INDEX 597
1700
        B"10100111" when B"1001010110",  -- INDEX 598
1701
        B"10001010" when B"1001010111",  -- INDEX 599
1702
        B"10000000" when B"1001011000",  -- INDEX 600
1703
        B"10001010" when B"1001011001",  -- INDEX 601
1704
        B"10100110" when B"1001011010",  -- INDEX 602
1705
        B"11010000" when B"1001011011",  -- INDEX 603
1706
        B"00000001" when B"1001011100",  -- INDEX 604
1707
        B"00110011" when B"1001011101",  -- INDEX 605
1708
        B"01011100" when B"1001011110",  -- INDEX 606
1709
        B"01110111" when B"1001011111",  -- INDEX 607
1710
        B"01111111" when B"1001100000",  -- INDEX 608
1711
        B"01110101" when B"1001100001",  -- INDEX 609
1712
        B"01010111" when B"1001100010",  -- INDEX 610
1713
        B"00101101" when B"1001100011",  -- INDEX 611
1714
        B"11111011" when B"1001100100",  -- INDEX 612
1715
        B"11001010" when B"1001100101",  -- INDEX 613
1716
        B"10100001" when B"1001100110",  -- INDEX 614
1717
        B"10000111" when B"1001100111",  -- INDEX 615
1718
        B"10000000" when B"1001101000",  -- INDEX 616
1719
        B"10001101" when B"1001101001",  -- INDEX 617
1720
        B"10101011" when B"1001101010",  -- INDEX 618
1721
        B"11010111" when B"1001101011",  -- INDEX 619
1722
        B"00001001" when B"1001101100",  -- INDEX 620
1723
        B"00111001" when B"1001101101",  -- INDEX 621
1724
        B"01100001" when B"1001101110",  -- INDEX 622
1725
        B"01111010" when B"1001101111",  -- INDEX 623
1726
        B"01111111" when B"1001110000",  -- INDEX 624
1727
        B"01110010" when B"1001110001",  -- INDEX 625
1728
        B"01010010" when B"1001110010",  -- INDEX 626
1729
        B"00100110" when B"1001110011",  -- INDEX 627
1730
        B"11110100" when B"1001110100",  -- INDEX 628
1731
        B"11000100" when B"1001110101",  -- INDEX 629
1732
        B"10011101" when B"1001110110",  -- INDEX 630
1733
        B"10000101" when B"1001110111",  -- INDEX 631
1734
        B"10000001" when B"1001111000",  -- INDEX 632
1735
        B"10010000" when B"1001111001",  -- INDEX 633
1736
        B"10110000" when B"1001111010",  -- INDEX 634
1737
        B"11011100" when B"1001111011",  -- INDEX 635
1738
        B"00001110" when B"1001111100",  -- INDEX 636
1739
        B"00111110" when B"1001111101",  -- INDEX 637
1740
        B"01100101" when B"1001111110",  -- INDEX 638
1741
        B"01111011" when B"1001111111",  -- INDEX 639
1742
        B"01111111" when B"1010000000",  -- INDEX 640
1743
        B"01101111" when B"1010000001",  -- INDEX 641
1744
        B"01001110" when B"1010000010",  -- INDEX 642
1745
        B"00100001" when B"1010000011",  -- INDEX 643
1746
        B"11101111" when B"1010000100",  -- INDEX 644
1747
        B"11000000" when B"1010000101",  -- INDEX 645
1748
        B"10011010" when B"1010000110",  -- INDEX 646
1749
        B"10000100" when B"1010000111",  -- INDEX 647
1750
        B"10000001" when B"1010001000",  -- INDEX 648
1751
        B"10010010" when B"1010001001",  -- INDEX 649
1752
        B"10110011" when B"1010001010",  -- INDEX 650
1753
        B"11100001" when B"1010001011",  -- INDEX 651
1754
        B"00010011" when B"1010001100",  -- INDEX 652
1755
        B"01000010" when B"1010001101",  -- INDEX 653
1756
        B"01100111" when B"1010001110",  -- INDEX 654
1757
        B"01111100" when B"1010001111",  -- INDEX 655
1758
        B"01111110" when B"1010010000",  -- INDEX 656
1759
        B"01101101" when B"1010010001",  -- INDEX 657
1760
        B"01001011" when B"1010010010",  -- INDEX 658
1761
        B"00011101" when B"1010010011",  -- INDEX 659
1762
        B"11101011" when B"1010010100",  -- INDEX 660
1763
        B"10111100" when B"1010010101",  -- INDEX 661
1764
        B"10011000" when B"1010010110",  -- INDEX 662
1765
        B"10000011" when B"1010010111",  -- INDEX 663
1766
        B"10000010" when B"1010011000",  -- INDEX 664
1767
        B"10010100" when B"1010011001",  -- INDEX 665
1768
        B"10110110" when B"1010011010",  -- INDEX 666
1769
        B"11100100" when B"1010011011",  -- INDEX 667
1770
        B"00010110" when B"1010011100",  -- INDEX 668
1771
        B"01000101" when B"1010011101",  -- INDEX 669
1772
        B"01101001" when B"1010011110",  -- INDEX 670
1773
        B"01111101" when B"1010011111",  -- INDEX 671
1774
        B"01111110" when B"1010100000",  -- INDEX 672
1775
        B"01101100" when B"1010100001",  -- INDEX 673
1776
        B"01001001" when B"1010100010",  -- INDEX 674
1777
        B"00011011" when B"1010100011",  -- INDEX 675
1778
        B"11101001" when B"1010100100",  -- INDEX 676
1779
        B"10111010" when B"1010100101",  -- INDEX 677
1780
        B"10010110" when B"1010100110",  -- INDEX 678
1781
        B"10000011" when B"1010100111",  -- INDEX 679
1782
        B"10000010" when B"1010101000",  -- INDEX 680
1783
        B"10010101" when B"1010101001",  -- INDEX 681
1784
        B"10111000" when B"1010101010",  -- INDEX 682
1785
        B"11100110" when B"1010101011",  -- INDEX 683
1786
        B"00011000" when B"1010101100",  -- INDEX 684
1787
        B"01000110" when B"1010101101",  -- INDEX 685
1788
        B"01101010" when B"1010101110",  -- INDEX 686
1789
        B"01111101" when B"1010101111",  -- INDEX 687
1790
        B"01111110" when B"1010110000",  -- INDEX 688
1791
        B"01101011" when B"1010110001",  -- INDEX 689
1792
        B"01001000" when B"1010110010",  -- INDEX 690
1793
        B"00011001" when B"1010110011",  -- INDEX 691
1794
        B"11100111" when B"1010110100",  -- INDEX 692
1795
        B"10111001" when B"1010110101",  -- INDEX 693
1796
        B"10010110" when B"1010110110",  -- INDEX 694
1797
        B"10000011" when B"1010110111",  -- INDEX 695
1798
        B"10000010" when B"1010111000",  -- INDEX 696
1799
        B"10010101" when B"1010111001",  -- INDEX 697
1800
        B"10111001" when B"1010111010",  -- INDEX 698
1801
        B"11100111" when B"1010111011",  -- INDEX 699
1802
        B"00011001" when B"1010111100",  -- INDEX 700
1803
        B"01000111" when B"1010111101",  -- INDEX 701
1804
        B"01101010" when B"1010111110",  -- INDEX 702
1805
        B"01111101" when B"1010111111",  -- INDEX 703
1806
        B"01111110" when B"1011000000",  -- INDEX 704
1807
        B"01101011" when B"1011000001",  -- INDEX 705
1808
        B"01000111" when B"1011000010",  -- INDEX 706
1809
        B"00011001" when B"1011000011",  -- INDEX 707
1810
        B"11100111" when B"1011000100",  -- INDEX 708
1811
        B"10111001" when B"1011000101",  -- INDEX 709
1812
        B"10010110" when B"1011000110",  -- INDEX 710
1813
        B"10000011" when B"1011000111",  -- INDEX 711
1814
        B"10000010" when B"1011001000",  -- INDEX 712
1815
        B"10010101" when B"1011001001",  -- INDEX 713
1816
        B"10111000" when B"1011001010",  -- INDEX 714
1817
        B"11100110" when B"1011001011",  -- INDEX 715
1818
        B"00011000" when B"1011001100",  -- INDEX 716
1819
        B"01000110" when B"1011001101",  -- INDEX 717
1820
        B"01101010" when B"1011001110",  -- INDEX 718
1821
        B"01111101" when B"1011001111",  -- INDEX 719
1822
        B"01111110" when B"1011010000",  -- INDEX 720
1823
        B"01101011" when B"1011010001",  -- INDEX 721
1824
        B"01001000" when B"1011010010",  -- INDEX 722
1825
        B"00011010" when B"1011010011",  -- INDEX 723
1826
        B"11101001" when B"1011010100",  -- INDEX 724
1827
        B"10111010" when B"1011010101",  -- INDEX 725
1828
        B"10010111" when B"1011010110",  -- INDEX 726
1829
        B"10000011" when B"1011010111",  -- INDEX 727
1830
        B"10000010" when B"1011011000",  -- INDEX 728
1831
        B"10010100" when B"1011011001",  -- INDEX 729
1832
        B"10110111" when B"1011011010",  -- INDEX 730
1833
        B"11100100" when B"1011011011",  -- INDEX 731
1834
        B"00010110" when B"1011011100",  -- INDEX 732
1835
        B"01000101" when B"1011011101",  -- INDEX 733
1836
        B"01101001" when B"1011011110",  -- INDEX 734
1837
        B"01111101" when B"1011011111",  -- INDEX 735
1838
        B"01111110" when B"1011100000",  -- INDEX 736
1839
        B"01101100" when B"1011100001",  -- INDEX 737
1840
        B"01001010" when B"1011100010",  -- INDEX 738
1841
        B"00011101" when B"1011100011",  -- INDEX 739
1842
        B"11101011" when B"1011100100",  -- INDEX 740
1843
        B"10111101" when B"1011100101",  -- INDEX 741
1844
        B"10011000" when B"1011100110",  -- INDEX 742
1845
        B"10000011" when B"1011100111",  -- INDEX 743
1846
        B"10000010" when B"1011101000",  -- INDEX 744
1847
        B"10010011" when B"1011101001",  -- INDEX 745
1848
        B"10110100" when B"1011101010",  -- INDEX 746
1849
        B"11100001" when B"1011101011",  -- INDEX 747
1850
        B"00010011" when B"1011101100",  -- INDEX 748
1851
        B"01000010" when B"1011101101",  -- INDEX 749
1852
        B"01100111" when B"1011101110",  -- INDEX 750
1853
        B"01111100" when B"1011101111",  -- INDEX 751
1854
        B"01111111" when B"1011110000",  -- INDEX 752
1855
        B"01101110" when B"1011110001",  -- INDEX 753
1856
        B"01001101" when B"1011110010",  -- INDEX 754
1857
        B"00100000" when B"1011110011",  -- INDEX 755
1858
        B"11101111" when B"1011110100",  -- INDEX 756
1859
        B"11000000" when B"1011110101",  -- INDEX 757
1860
        B"10011011" when B"1011110110",  -- INDEX 758
1861
        B"10000100" when B"1011110111",  -- INDEX 759
1862
        B"10000001" when B"1011111000",  -- INDEX 760
1863
        B"10010001" when B"1011111001",  -- INDEX 761
1864
        B"10110001" when B"1011111010",  -- INDEX 762
1865
        B"11011101" when B"1011111011",  -- INDEX 763
1866
        B"00001111" when B"1011111100",  -- INDEX 764
1867
        B"00111110" when B"1011111101",  -- INDEX 765
1868
        B"01100100" when B"1011111110",  -- INDEX 766
1869
        B"01111011" when B"1011111111",  -- INDEX 767
1870
        B"01111111" when B"1100000000",  -- INDEX 768
1871
        B"01110001" when B"1100000001",  -- INDEX 769
1872
        B"01010001" when B"1100000010",  -- INDEX 770
1873
        B"00100101" when B"1100000011",  -- INDEX 771
1874
        B"11110100" when B"1100000100",  -- INDEX 772
1875
        B"11000100" when B"1100000101",  -- INDEX 773
1876
        B"10011110" when B"1100000110",  -- INDEX 774
1877
        B"10000110" when B"1100000111",  -- INDEX 775
1878
        B"10000000" when B"1100001000",  -- INDEX 776
1879
        B"10001110" when B"1100001001",  -- INDEX 777
1880
        B"10101101" when B"1100001010",  -- INDEX 778
1881
        B"11011000" when B"1100001011",  -- INDEX 779
1882
        B"00001001" when B"1100001100",  -- INDEX 780
1883
        B"00111001" when B"1100001101",  -- INDEX 781
1884
        B"01100000" when B"1100001110",  -- INDEX 782
1885
        B"01111001" when B"1100001111",  -- INDEX 783
1886
        B"01111111" when B"1100010000",  -- INDEX 784
1887
        B"01110011" when B"1100010001",  -- INDEX 785
1888
        B"01010110" when B"1100010010",  -- INDEX 786
1889
        B"00101011" when B"1100010011",  -- INDEX 787
1890
        B"11111010" when B"1100010100",  -- INDEX 788
1891
        B"11001010" when B"1100010101",  -- INDEX 789
1892
        B"10100010" when B"1100010110",  -- INDEX 790
1893
        B"10001000" when B"1100010111",  -- INDEX 791
1894
        B"10000000" when B"1100011000",  -- INDEX 792
1895
        B"10001011" when B"1100011001",  -- INDEX 793
1896
        B"10101000" when B"1100011010",  -- INDEX 794
1897
        B"11010001" when B"1100011011",  -- INDEX 795
1898
        B"00000010" when B"1100011100",  -- INDEX 796
1899
        B"00110010" when B"1100011101",  -- INDEX 797
1900
        B"01011011" when B"1100011110",  -- INDEX 798
1901
        B"01110110" when B"1100011111",  -- INDEX 799
1902
        B"01111111" when B"1100100000",  -- INDEX 800
1903
        B"01110110" when B"1100100001",  -- INDEX 801
1904
        B"01011011" when B"1100100010",  -- INDEX 802
1905
        B"00110010" when B"1100100011",  -- INDEX 803
1906
        B"00000010" when B"1100100100",  -- INDEX 804
1907
        B"11010001" when B"1100100101",  -- INDEX 805
1908
        B"10101000" when B"1100100110",  -- INDEX 806
1909
        B"10001011" when B"1100100111",  -- INDEX 807
1910
        B"10000000" when B"1100101000",  -- INDEX 808
1911
        B"10001000" when B"1100101001",  -- INDEX 809
1912
        B"10100010" when B"1100101010",  -- INDEX 810
1913
        B"11001010" when B"1100101011",  -- INDEX 811
1914
        B"11111010" when B"1100101100",  -- INDEX 812
1915
        B"00101011" when B"1100101101",  -- INDEX 813
1916
        B"01010110" when B"1100101110",  -- INDEX 814
1917
        B"01110011" when B"1100101111",  -- INDEX 815
1918
        B"01111111" when B"1100110000",  -- INDEX 816
1919
        B"01111001" when B"1100110001",  -- INDEX 817
1920
        B"01100000" when B"1100110010",  -- INDEX 818
1921
        B"00111001" when B"1100110011",  -- INDEX 819
1922
        B"00001001" when B"1100110100",  -- INDEX 820
1923
        B"11011000" when B"1100110101",  -- INDEX 821
1924
        B"10101101" when B"1100110110",  -- INDEX 822
1925
        B"10001110" when B"1100110111",  -- INDEX 823
1926
        B"10000000" when B"1100111000",  -- INDEX 824
1927
        B"10000110" when B"1100111001",  -- INDEX 825
1928
        B"10011110" when B"1100111010",  -- INDEX 826
1929
        B"11000100" when B"1100111011",  -- INDEX 827
1930
        B"11110100" when B"1100111100",  -- INDEX 828
1931
        B"00100101" when B"1100111101",  -- INDEX 829
1932
        B"01010001" when B"1100111110",  -- INDEX 830
1933
        B"01110001" when B"1100111111",  -- INDEX 831
1934
        B"01111111" when B"1101000000",  -- INDEX 832
1935
        B"01111011" when B"1101000001",  -- INDEX 833
1936
        B"01100100" when B"1101000010",  -- INDEX 834
1937
        B"00111110" when B"1101000011",  -- INDEX 835
1938
        B"00001111" when B"1101000100",  -- INDEX 836
1939
        B"11011101" when B"1101000101",  -- INDEX 837
1940
        B"10110001" when B"1101000110",  -- INDEX 838
1941
        B"10010001" when B"1101000111",  -- INDEX 839
1942
        B"10000001" when B"1101001000",  -- INDEX 840
1943
        B"10000100" when B"1101001001",  -- INDEX 841
1944
        B"10011010" when B"1101001010",  -- INDEX 842
1945
        B"11000000" when B"1101001011",  -- INDEX 843
1946
        B"11101111" when B"1101001100",  -- INDEX 844
1947
        B"00100000" when B"1101001101",  -- INDEX 845
1948
        B"01001101" when B"1101001110",  -- INDEX 846
1949
        B"01101110" when B"1101001111",  -- INDEX 847
1950
        B"01111111" when B"1101010000",  -- INDEX 848
1951
        B"01111100" when B"1101010001",  -- INDEX 849
1952
        B"01100111" when B"1101010010",  -- INDEX 850
1953
        B"01000010" when B"1101010011",  -- INDEX 851
1954
        B"00010011" when B"1101010100",  -- INDEX 852
1955
        B"11100010" when B"1101010101",  -- INDEX 853
1956
        B"10110101" when B"1101010110",  -- INDEX 854
1957
        B"10010011" when B"1101010111",  -- INDEX 855
1958
        B"10000010" when B"1101011000",  -- INDEX 856
1959
        B"10000011" when B"1101011001",  -- INDEX 857
1960
        B"10011000" when B"1101011010",  -- INDEX 858
1961
        B"10111100" when B"1101011011",  -- INDEX 859
1962
        B"11101011" when B"1101011100",  -- INDEX 860
1963
        B"00011101" when B"1101011101",  -- INDEX 861
1964
        B"01001010" when B"1101011110",  -- INDEX 862
1965
        B"01101100" when B"1101011111",  -- INDEX 863
1966
        B"01111110" when B"1101100000",  -- INDEX 864
1967
        B"01111101" when B"1101100001",  -- INDEX 865
1968
        B"01101001" when B"1101100010",  -- INDEX 866
1969
        B"01000101" when B"1101100011",  -- INDEX 867
1970
        B"00010111" when B"1101100100",  -- INDEX 868
1971
        B"11100101" when B"1101100101",  -- INDEX 869
1972
        B"10110111" when B"1101100110",  -- INDEX 870
1973
        B"10010101" when B"1101100111",  -- INDEX 871
1974
        B"10000010" when B"1101101000",  -- INDEX 872
1975
        B"10000011" when B"1101101001",  -- INDEX 873
1976
        B"10010110" when B"1101101010",  -- INDEX 874
1977
        B"10111010" when B"1101101011",  -- INDEX 875
1978
        B"11101000" when B"1101101100",  -- INDEX 876
1979
        B"00011010" when B"1101101101",  -- INDEX 877
1980
        B"01001000" when B"1101101110",  -- INDEX 878
1981
        B"01101011" when B"1101101111",  -- INDEX 879
1982
        B"01111110" when B"1101110000",  -- INDEX 880
1983
        B"01111101" when B"1101110001",  -- INDEX 881
1984
        B"01101010" when B"1101110010",  -- INDEX 882
1985
        B"01000111" when B"1101110011",  -- INDEX 883
1986
        B"00011001" when B"1101110100",  -- INDEX 884
1987
        B"11100111" when B"1101110101",  -- INDEX 885
1988
        B"10111001" when B"1101110110",  -- INDEX 886
1989
        B"10010101" when B"1101110111",  -- INDEX 887
1990
        B"10000010" when B"1101111000",  -- INDEX 888
1991
        B"10000010" when B"1101111001",  -- INDEX 889
1992
        B"10010110" when B"1101111010",  -- INDEX 890
1993
        B"10111001" when B"1101111011",  -- INDEX 891
1994
        B"11100111" when B"1101111100",  -- INDEX 892
1995
        B"00011001" when B"1101111101",  -- INDEX 893
1996
        B"01000111" when B"1101111110",  -- INDEX 894
1997
        B"01101010" when B"1101111111",  -- INDEX 895
1998
        B"01111110" when B"1110000000",  -- INDEX 896
1999
        B"01111110" when B"1110000001",  -- INDEX 897
2000
        B"01101011" when B"1110000010",  -- INDEX 898
2001
        B"01000111" when B"1110000011",  -- INDEX 899
2002
        B"00011001" when B"1110000100",  -- INDEX 900
2003
        B"11100111" when B"1110000101",  -- INDEX 901
2004
        B"10111001" when B"1110000110",  -- INDEX 902
2005
        B"10010110" when B"1110000111",  -- INDEX 903
2006
        B"10000011" when B"1110001000",  -- INDEX 904
2007
        B"10000010" when B"1110001001",  -- INDEX 905
2008
        B"10010101" when B"1110001010",  -- INDEX 906
2009
        B"10111001" when B"1110001011",  -- INDEX 907
2010
        B"11100111" when B"1110001100",  -- INDEX 908
2011
        B"00011001" when B"1110001101",  -- INDEX 909
2012
        B"01000111" when B"1110001110",  -- INDEX 910
2013
        B"01101010" when B"1110001111",  -- INDEX 911
2014
        B"01111110" when B"1110010000",  -- INDEX 912
2015
        B"01111110" when B"1110010001",  -- INDEX 913
2016
        B"01101010" when B"1110010010",  -- INDEX 914
2017
        B"01000111" when B"1110010011",  -- INDEX 915
2018
        B"00011001" when B"1110010100",  -- INDEX 916
2019
        B"11100111" when B"1110010101",  -- INDEX 917
2020
        B"10111000" when B"1110010110",  -- INDEX 918
2021
        B"10010101" when B"1110010111",  -- INDEX 919
2022
        B"10000010" when B"1110011000",  -- INDEX 920
2023
        B"10000011" when B"1110011001",  -- INDEX 921
2024
        B"10010110" when B"1110011010",  -- INDEX 922
2025
        B"10111010" when B"1110011011",  -- INDEX 923
2026
        B"11101000" when B"1110011100",  -- INDEX 924
2027
        B"00011010" when B"1110011101",  -- INDEX 925
2028
        B"01001000" when B"1110011110",  -- INDEX 926
2029
        B"01101011" when B"1110011111",  -- INDEX 927
2030
        B"01111110" when B"1110100000",  -- INDEX 928
2031
        B"01111101" when B"1110100001",  -- INDEX 929
2032
        B"01101001" when B"1110100010",  -- INDEX 930
2033
        B"01000101" when B"1110100011",  -- INDEX 931
2034
        B"00010111" when B"1110100100",  -- INDEX 932
2035
        B"11100101" when B"1110100101",  -- INDEX 933
2036
        B"10110111" when B"1110100110",  -- INDEX 934
2037
        B"10010100" when B"1110100111",  -- INDEX 935
2038
        B"10000010" when B"1110101000",  -- INDEX 936
2039
        B"10000011" when B"1110101001",  -- INDEX 937
2040
        B"10010111" when B"1110101010",  -- INDEX 938
2041
        B"10111100" when B"1110101011",  -- INDEX 939
2042
        B"11101011" when B"1110101100",  -- INDEX 940
2043
        B"00011101" when B"1110101101",  -- INDEX 941
2044
        B"01001010" when B"1110101110",  -- INDEX 942
2045
        B"01101101" when B"1110101111",  -- INDEX 943
2046
        B"01111110" when B"1110110000",  -- INDEX 944
2047
        B"01111101" when B"1110110001",  -- INDEX 945
2048
        B"01101000" when B"1110110010",  -- INDEX 946
2049
        B"01000011" when B"1110110011",  -- INDEX 947
2050
        B"00010100" when B"1110110100",  -- INDEX 948
2051
        B"11100010" when B"1110110101",  -- INDEX 949
2052
        B"10110100" when B"1110110110",  -- INDEX 950
2053
        B"10010010" when B"1110110111",  -- INDEX 951
2054
        B"10000001" when B"1110111000",  -- INDEX 952
2055
        B"10000100" when B"1110111001",  -- INDEX 953
2056
        B"10011010" when B"1110111010",  -- INDEX 954
2057
        B"10111111" when B"1110111011",  -- INDEX 955
2058
        B"11101110" when B"1110111100",  -- INDEX 956
2059
        B"00100001" when B"1110111101",  -- INDEX 957
2060
        B"01001110" when B"1110111110",  -- INDEX 958
2061
        B"01101111" when B"1110111111",  -- INDEX 959
2062
        B"01111111" when B"1111000000",  -- INDEX 960
2063
        B"01111011" when B"1111000001",  -- INDEX 961
2064
        B"01100101" when B"1111000010",  -- INDEX 962
2065
        B"00111111" when B"1111000011",  -- INDEX 963
2066
        B"00001111" when B"1111000100",  -- INDEX 964
2067
        B"11011101" when B"1111000101",  -- INDEX 965
2068
        B"10110000" when B"1111000110",  -- INDEX 966
2069
        B"10010000" when B"1111000111",  -- INDEX 967
2070
        B"10000001" when B"1111001000",  -- INDEX 968
2071
        B"10000101" when B"1111001001",  -- INDEX 969
2072
        B"10011101" when B"1111001010",  -- INDEX 970
2073
        B"11000011" when B"1111001011",  -- INDEX 971
2074
        B"11110011" when B"1111001100",  -- INDEX 972
2075
        B"00100110" when B"1111001101",  -- INDEX 973
2076
        B"01010010" when B"1111001110",  -- INDEX 974
2077
        B"01110001" when B"1111001111",  -- INDEX 975
2078
        B"01111111" when B"1111010000",  -- INDEX 976
2079
        B"01111010" when B"1111010001",  -- INDEX 977
2080
        B"01100001" when B"1111010010",  -- INDEX 978
2081
        B"00111010" when B"1111010011",  -- INDEX 979
2082
        B"00001001" when B"1111010100",  -- INDEX 980
2083
        B"11011000" when B"1111010101",  -- INDEX 981
2084
        B"10101100" when B"1111010110",  -- INDEX 982
2085
        B"10001101" when B"1111010111",  -- INDEX 983
2086
        B"10000000" when B"1111011000",  -- INDEX 984
2087
        B"10000111" when B"1111011001",  -- INDEX 985
2088
        B"10100001" when B"1111011010",  -- INDEX 986
2089
        B"11001001" when B"1111011011",  -- INDEX 987
2090
        B"11111010" when B"1111011100",  -- INDEX 988
2091
        B"00101100" when B"1111011101",  -- INDEX 989
2092
        B"01010111" when B"1111011110",  -- INDEX 990
2093
        B"01110100" when B"1111011111",  -- INDEX 991
2094
        B"01111111" when B"1111100000",  -- INDEX 992
2095
        B"01111000" when B"1111100001",  -- INDEX 993
2096
        B"01011101" when B"1111100010",  -- INDEX 994
2097
        B"00110100" when B"1111100011",  -- INDEX 995
2098
        B"00000010" when B"1111100100",  -- INDEX 996
2099
        B"11010001" when B"1111100101",  -- INDEX 997
2100
        B"10100111" when B"1111100110",  -- INDEX 998
2101
        B"10001010" when B"1111100111",  -- INDEX 999
2102
 
2103
-- END INPUT FM SIGNAL
2104
        B"00000000" when others;
2105
 
2106
end input_data;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.