OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [modelsim-bench/] [bench.vhdl] - Blame information for rev 36

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 arif_endro
-- $Id: bench.vhdl,v 1.1.1.1 2005-01-04 02:06:01 arif_endro Exp $
2
-- **************************************************************
3
-- Arif E. Nugroho
4
-- **************************************************************
5
 
6
library IEEE;
7
use IEEE.STD_LOGIC_1164.ALL;
8
use IEEE.STD_LOGIC_arith.ALL;
9
use IEEE.STD_LOGIC_unsigned.ALL;
10
 
11
entity bench is
12
--  port (
13
--   clock : out bit;
14
--   fmout : out bit;
15
--   reset : out bit;
16
-- );
17
end bench;
18
 
19
architecture structural of bench is
20
  component fm
21
  port (
22
    CLK              : in  bit;
23
    RESET            : in  bit;
24
    FMIN             : in  bit_vector (07 downto 0);
25
    DMOUT            : out bit_vector (11 downto 0)
26
    );
27
  end component;
28
 
29
  component input
30
  port (
31
    clock_out        : out bit;
32
    test_signal_fm   : out bit_vector (07 downto 0);
33
    test_signal_fmTri: out bit_vector (07 downto 0);
34
    signal_fm_bit    : out bit;
35
    signal_fmTri_bit : out bit
36
    );
37
  end component;
38
  signal clock       : bit;
39
  signal reset       : bit;
40
  signal signal_fm   : bit;
41
  signal signal_fmTri: bit;
42
  signal test_signal_fm : bit_vector (07 downto 0);
43
  signal test_signal_fmTri : bit_vector (07 downto 0);
44
  signal output_fm   : bit_vector (11 downto 0);
45
  begin
46
  reset <= '0';
47
  myinput : input
48
   port map (
49
    clock_out        => clock,
50
    test_signal_fm   => test_signal_fm,
51
    test_signal_fmTri=> test_signal_fmTri,
52
    signal_fm_bit    => signal_fm,
53
    signal_fmTri_bit => signal_fmTri
54
    );
55
  myfm : fm
56
   port map (
57
    CLK                  => clock,
58
    RESET                => reset,
59
    FMIN                 => test_signal_fm,
60
    DMOUT (11 downto 0)  => output_fm
61
    );
62
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.