OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [modelsim-bench/] [bench.vhdl] - Blame information for rev 46

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 arif_endro
-- ------------------------------------------------------------------------
2
-- Copyright (C) 2004 Arif Endro Nugroho
3
-- All rights reserved.
4
-- 
5
-- Redistribution and use in source and binary forms, with or without
6
-- modification, are permitted provided that the following conditions
7
-- are met:
8
-- 
9
-- 1. Redistributions of source code must retain the above copyright
10
--    notice, this list of conditions and the following disclaimer.
11
-- 2. Redistributions in binary form must reproduce the above copyright
12
--    notice, this list of conditions and the following disclaimer in the
13
--    documentation and/or other materials provided with the distribution.
14
-- 
15
-- THIS SOFTWARE IS PROVIDED BY ARIF ENDRO NUGROHO "AS IS" AND ANY EXPRESS
16
-- OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
17
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
18
-- DISCLAIMED. IN NO EVENT SHALL ARIF ENDRO NUGROHO BE LIABLE FOR ANY
19
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
20
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
21
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
22
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
23
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
24
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
25
-- POSSIBILITY OF SUCH DAMAGE.
26
-- 
27
-- End Of License.
28
-- ------------------------------------------------------------------------
29 2 arif_endro
 
30
library IEEE;
31
use IEEE.STD_LOGIC_1164.ALL;
32
use IEEE.STD_LOGIC_arith.ALL;
33
use IEEE.STD_LOGIC_unsigned.ALL;
34
 
35
entity bench is
36
--  port (
37
--   clock : out bit;
38
--   fmout : out bit;
39
--   reset : out bit;
40
-- );
41
end bench;
42
 
43
architecture structural of bench is
44
  component fm
45
  port (
46
    CLK              : in  bit;
47
    RESET            : in  bit;
48
    FMIN             : in  bit_vector (07 downto 0);
49
    DMOUT            : out bit_vector (11 downto 0)
50
    );
51
  end component;
52
 
53
  component input
54
  port (
55
    clock_out        : out bit;
56
    test_signal_fm   : out bit_vector (07 downto 0);
57
    test_signal_fmTri: out bit_vector (07 downto 0);
58
    signal_fm_bit    : out bit;
59
    signal_fmTri_bit : out bit
60
    );
61
  end component;
62
  signal clock       : bit;
63
  signal reset       : bit;
64
  signal signal_fm   : bit;
65
  signal signal_fmTri: bit;
66
  signal test_signal_fm : bit_vector (07 downto 0);
67
  signal test_signal_fmTri : bit_vector (07 downto 0);
68
  signal output_fm   : bit_vector (11 downto 0);
69
  begin
70
  reset <= '0';
71
  myinput : input
72
   port map (
73
    clock_out        => clock,
74
    test_signal_fm   => test_signal_fm,
75
    test_signal_fmTri=> test_signal_fmTri,
76
    signal_fm_bit    => signal_fm,
77
    signal_fmTri_bit => signal_fmTri
78
    );
79
  myfm : fm
80
   port map (
81
    CLK                  => clock,
82
    RESET                => reset,
83
    FMIN                 => test_signal_fm,
84
    DMOUT (11 downto 0)  => output_fm
85
    );
86
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.