OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [source/] [adder_09bit.vhdl] - Blame information for rev 46

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 arif_endro
-- ------------------------------------------------------------------------
2 39 arif_endro
-- Copyright (C) 2004 Arif Endro Nugroho
3 46 arif_endro
-- All rights reserved.
4 13 arif_endro
-- 
5 46 arif_endro
-- Redistribution and use in source and binary forms, with or without
6
-- modification, are permitted provided that the following conditions
7
-- are met:
8 13 arif_endro
-- 
9 46 arif_endro
-- 1. Redistributions of source code must retain the above copyright
10
--    notice, this list of conditions and the following disclaimer.
11
-- 2. Redistributions in binary form must reproduce the above copyright
12
--    notice, this list of conditions and the following disclaimer in the
13
--    documentation and/or other materials provided with the distribution.
14 13 arif_endro
-- 
15 46 arif_endro
-- THIS SOFTWARE IS PROVIDED BY ARIF ENDRO NUGROHO "AS IS" AND ANY EXPRESS
16
-- OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
17
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
18
-- DISCLAIMED. IN NO EVENT SHALL ARIF ENDRO NUGROHO BE LIABLE FOR ANY
19
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
20
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
21
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
22
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
23
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
24
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
25
-- POSSIBILITY OF SUCH DAMAGE.
26 13 arif_endro
-- 
27 46 arif_endro
-- End Of License.
28
-- ------------------------------------------------------------------------
29 2 arif_endro
 
30
library IEEE;
31
use IEEE.STD_LOGIC_1164.ALL;
32
 
33
entity adder_09bit is
34
   port (
35
      addend_09bit  : in  bit_vector (08 downto 0);
36
      augend_09bit  : in  bit_vector (08 downto 0);
37
      adder09_output: out bit_vector (09 downto 0)
38
      );
39
end adder_09bit;
40
 
41
architecture structural of adder_09bit is
42
 
43
   component fulladder
44
      port (
45
      addend        : in   bit;
46
      augend        : in   bit;
47
      carry_in      : in   bit;
48
      sum           : out  bit;
49
      carry         : out  bit
50
      );
51
   end component;
52
 
53
signal c00 : bit;
54
signal c01 : bit;
55
signal c02 : bit;
56
signal c03 : bit;
57
signal c04 : bit;
58
signal c05 : bit;
59
signal c06 : bit;
60
signal c07 : bit;
61
signal c08 : bit;
62
signal c09 : bit;
63
signal over09 : bit;
64 22 arif_endro
signal adder09_output_int : bit_vector (08 downto 0);
65
signal ov  : bit ;
66 2 arif_endro
 
67
begin
68
 
69
c00                     <= '0';
70
over09                  <= (addend_09bit (08) xor augend_09bit (08));
71 22 arif_endro
ov                      <= ((adder09_output_int (08) and over09) or
72 2 arif_endro
                           (c09 and (not (over09))));
73 22 arif_endro
adder09_output(08 downto 00) <= adder09_output_int;
74
adder09_output(09)           <= ov;
75 2 arif_endro
 
76
fa08 : fulladder
77
   port map (
78
      addend     => addend_09bit(08),
79
      augend     => augend_09bit(08),
80
      carry_in   => c08,
81
      sum        => adder09_output_int(08),
82
      carry      => c09
83
      );
84
 
85
fa07 : fulladder
86
   port map (
87
      addend     => addend_09bit(07),
88
      augend     => augend_09bit(07),
89
      carry_in   => c07,
90
      sum        => adder09_output_int(07),
91
      carry      => c08
92
      );
93
 
94
fa06 : fulladder
95
   port map (
96
      addend     => addend_09bit(06),
97
      augend     => augend_09bit(06),
98
      carry_in   => c06,
99
      sum        => adder09_output_int(06),
100
      carry      => c07
101
      );
102
 
103
fa05 : fulladder
104
   port map (
105
      addend     => addend_09bit(05),
106
      augend     => augend_09bit(05),
107
      carry_in   => c05,
108
      sum        => adder09_output_int(05),
109
      carry      => c06
110
      );
111
 
112
fa04 : fulladder
113
   port map (
114
      addend     => addend_09bit(04),
115
      augend     => augend_09bit(04),
116
      carry_in   => c04,
117
      sum        => adder09_output_int(04),
118
      carry      => c05
119
      );
120
 
121
fa03 : fulladder
122
   port map (
123
      addend     => addend_09bit(03),
124
      augend     => augend_09bit(03),
125
      carry_in   => c03,
126
      sum        => adder09_output_int(03),
127
      carry      => c04
128
      );
129
 
130
fa02 : fulladder
131
   port map (
132
      addend     => addend_09bit(02),
133
      augend     => augend_09bit(02),
134
      carry_in   => c02,
135
      sum        => adder09_output_int(02),
136
      carry      => c03
137
      );
138
 
139
fa01 : fulladder
140
   port map (
141
      addend     => addend_09bit(01),
142
      augend     => augend_09bit(01),
143
      carry_in   => c01,
144
      sum        => adder09_output_int(01),
145
      carry      => c02
146
      );
147
 
148
fa00 : fulladder
149
   port map (
150
      addend     => addend_09bit(00),
151
      augend     => augend_09bit(00),
152
      carry_in   => c00,
153
      sum        => adder09_output_int(00),
154
      carry      => c01
155
      );
156
 
157
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.