OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [source/] [adder_10bit.vhdl] - Blame information for rev 46

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 arif_endro
-- ------------------------------------------------------------------------
2 39 arif_endro
-- Copyright (C) 2004 Arif Endro Nugroho
3 46 arif_endro
-- All rights reserved.
4 13 arif_endro
-- 
5 46 arif_endro
-- Redistribution and use in source and binary forms, with or without
6
-- modification, are permitted provided that the following conditions
7
-- are met:
8 13 arif_endro
-- 
9 46 arif_endro
-- 1. Redistributions of source code must retain the above copyright
10
--    notice, this list of conditions and the following disclaimer.
11
-- 2. Redistributions in binary form must reproduce the above copyright
12
--    notice, this list of conditions and the following disclaimer in the
13
--    documentation and/or other materials provided with the distribution.
14 13 arif_endro
-- 
15 46 arif_endro
-- THIS SOFTWARE IS PROVIDED BY ARIF ENDRO NUGROHO "AS IS" AND ANY EXPRESS
16
-- OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
17
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
18
-- DISCLAIMED. IN NO EVENT SHALL ARIF ENDRO NUGROHO BE LIABLE FOR ANY
19
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
20
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
21
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
22
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
23
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
24
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
25
-- POSSIBILITY OF SUCH DAMAGE.
26 13 arif_endro
-- 
27 46 arif_endro
-- End Of License.
28
-- ------------------------------------------------------------------------
29 2 arif_endro
 
30
library IEEE;
31
use IEEE.STD_LOGIC_1164.ALL;
32
 
33
entity adder_10bit is
34
   port (
35
      addend_10bit  : in  bit_vector (09 downto 0);
36
      augend_10bit  : in  bit_vector (09 downto 0);
37
      adder10_output: out bit_vector (10 downto 0)
38
      );
39
end adder_10bit;
40
 
41
architecture structural of adder_10bit is
42
 
43
   component fulladder
44
      port (
45
      addend        : in   bit;
46
      augend        : in   bit;
47
      carry_in      : in   bit;
48
      sum           : out  bit;
49
      carry         : out  bit
50
      );
51
   end component;
52
 
53
signal c00 : bit;
54
signal c01 : bit;
55
signal c02 : bit;
56
signal c03 : bit;
57
signal c04 : bit;
58
signal c05 : bit;
59
signal c06 : bit;
60
signal c07 : bit;
61
signal c08 : bit;
62
signal c09 : bit;
63
signal c10 : bit;
64
signal over10 : bit;
65 22 arif_endro
signal adder10_output_int : bit_vector (09 downto 0);
66
signal ov  : bit;
67 2 arif_endro
 
68
begin
69
 
70
c00                     <= '0';
71
over10                  <= (addend_10bit (09) xor augend_10bit (09));
72 22 arif_endro
ov                      <= ((adder10_output_int (09) and over10) or
73 2 arif_endro
                           (c10 and (not (over10))));
74 22 arif_endro
adder10_output(09 downto 00) <= adder10_output_int;
75
adder10_output(10)           <= ov;
76 2 arif_endro
 
77
fa09 : fulladder
78
   port map (
79
      addend     => addend_10bit(09),
80
      augend     => augend_10bit(09),
81
      carry_in   => c09,
82
      sum        => adder10_output_int(09),
83
      carry      => c10
84
      );
85
 
86
fa08 : fulladder
87
   port map (
88
      addend     => addend_10bit(08),
89
      augend     => augend_10bit(08),
90
      carry_in   => c08,
91
      sum        => adder10_output_int(08),
92
      carry      => c09
93
      );
94
 
95
fa07 : fulladder
96
   port map (
97
      addend     => addend_10bit(07),
98
      augend     => augend_10bit(07),
99
      carry_in   => c07,
100
      sum        => adder10_output_int(07),
101
      carry      => c08
102
      );
103
 
104
fa06 : fulladder
105
   port map (
106
      addend     => addend_10bit(06),
107
      augend     => augend_10bit(06),
108
      carry_in   => c06,
109
      sum        => adder10_output_int(06),
110
      carry      => c07
111
      );
112
 
113
fa05 : fulladder
114
   port map (
115
      addend     => addend_10bit(05),
116
      augend     => augend_10bit(05),
117
      carry_in   => c05,
118
      sum        => adder10_output_int(05),
119
      carry      => c06
120
      );
121
 
122
fa04 : fulladder
123
   port map (
124
      addend     => addend_10bit(04),
125
      augend     => augend_10bit(04),
126
      carry_in   => c04,
127
      sum        => adder10_output_int(04),
128
      carry      => c05
129
      );
130
 
131
fa03 : fulladder
132
   port map (
133
      addend     => addend_10bit(03),
134
      augend     => augend_10bit(03),
135
      carry_in   => c03,
136
      sum        => adder10_output_int(03),
137
      carry      => c04
138
      );
139
 
140
fa02 : fulladder
141
   port map (
142
      addend     => addend_10bit(02),
143
      augend     => augend_10bit(02),
144
      carry_in   => c02,
145
      sum        => adder10_output_int(02),
146
      carry      => c03
147
      );
148
 
149
fa01 : fulladder
150
   port map (
151
      addend     => addend_10bit(01),
152
      augend     => augend_10bit(01),
153
      carry_in   => c01,
154
      sum        => adder10_output_int(01),
155
      carry      => c02
156
      );
157
 
158
fa00 : fulladder
159
   port map (
160
      addend     => addend_10bit(00),
161
      augend     => augend_10bit(00),
162
      carry_in   => c00,
163
      sum        => adder10_output_int(00),
164
      carry      => c01
165
      );
166
 
167
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.