OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [source/] [adder_11bit.vhdl] - Blame information for rev 39

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 22 arif_endro
-- $Id: adder_11bit.vhdl,v 1.4 2008-06-26 06:12:29 arif_endro Exp $
2 2 arif_endro
-------------------------------------------------------------------------------
3
-- Title       : Adder 11 bit
4
-- Project     : FM Receiver 
5
-------------------------------------------------------------------------------
6
-- File        : adder_11bit.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2004/12/23
9
-- Last update : 
10 13 arif_endro
-- Simulators  : 
11 2 arif_endro
-- Synthesizers: 
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Ripple carry adder 11 bit with output 12 bit
15
-------------------------------------------------------------------------------
16 39 arif_endro
-- Copyright (C) 2004 Arif Endro Nugroho
17 2 arif_endro
-------------------------------------------------------------------------------
18 13 arif_endro
-- 
19
--      THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
20
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
21
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
22
-- ASSOCIATED DISCLAIMER.
23
-- 
24
-------------------------------------------------------------------------------
25
-- 
26
--      THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
27
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
28
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
29
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
30
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
31
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
32
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
33
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
34
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
35
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
36
-- 
37
-------------------------------------------------------------------------------
38 2 arif_endro
 
39
library IEEE;
40
use IEEE.STD_LOGIC_1164.ALL;
41
 
42
entity adder_11bit is
43
   port (
44
      addend_11bit  : in  bit_vector (10 downto 0);
45
      augend_11bit  : in  bit_vector (10 downto 0);
46
      adder11_output: out bit_vector (11 downto 0) -- 12 bit output
47
      );
48
end adder_11bit;
49
 
50
architecture structural of adder_11bit is
51
 
52
   component fulladder
53
      port (
54
      addend        : in   bit;
55
      augend        : in   bit;
56
      carry_in      : in   bit;
57
      sum           : out  bit;
58
      carry         : out  bit
59
      );
60
   end component;
61
 
62
-- internal signal
63
signal c00 : bit;
64
signal c01 : bit;
65
signal c02 : bit;
66
signal c03 : bit;
67
signal c04 : bit;
68
signal c05 : bit;
69
signal c06 : bit;
70
signal c07 : bit;
71
signal c08 : bit;
72
signal c09 : bit;
73
signal c10 : bit;
74
signal c11 : bit;
75
signal over11 : bit;
76 22 arif_endro
signal adder11_output_int : bit_vector (10 downto 0);
77
signal ov  : bit;
78 2 arif_endro
 
79
begin
80
 
81
c00                     <= '0';
82
over11                  <= (addend_11bit (10) xor augend_11bit (10));
83 22 arif_endro
ov                      <= ((adder11_output_int (10) and over11) or
84 2 arif_endro
                           (c11 and (not (over11))));
85 22 arif_endro
adder11_output(10 downto 00) <= adder11_output_int;
86
adder11_output(11)           <= ov;
87 2 arif_endro
 
88
fa10 : fulladder
89
   port map (
90
      addend     => addend_11bit(10),
91
      augend     => augend_11bit(10),
92
      carry_in   => c10,
93
      sum        => adder11_output_int(10),
94
      carry      => c11
95
      );
96
 
97
fa09 : fulladder
98
   port map (
99
      addend     => addend_11bit(09),
100
      augend     => augend_11bit(09),
101
      carry_in   => c09,
102
      sum        => adder11_output_int(09),
103
      carry      => c10
104
      );
105
 
106
fa08 : fulladder
107
   port map (
108
      addend     => addend_11bit(08),
109
      augend     => augend_11bit(08),
110
      carry_in   => c08,
111
      sum        => adder11_output_int(08),
112
      carry      => c09
113
      );
114
 
115
fa07 : fulladder
116
   port map (
117
      addend     => addend_11bit(07),
118
      augend     => augend_11bit(07),
119
      carry_in   => c07,
120
      sum        => adder11_output_int(07),
121
      carry      => c08
122
      );
123
 
124
fa06 : fulladder
125
   port map (
126
      addend     => addend_11bit(06),
127
      augend     => augend_11bit(06),
128
      carry_in   => c06,
129
      sum        => adder11_output_int(06),
130
      carry      => c07
131
      );
132
 
133
fa05 : fulladder
134
   port map (
135
      addend     => addend_11bit(05),
136
      augend     => augend_11bit(05),
137
      carry_in   => c05,
138
      sum        => adder11_output_int(05),
139
      carry      => c06
140
      );
141
 
142
fa04 : fulladder
143
   port map (
144
      addend     => addend_11bit(04),
145
      augend     => augend_11bit(04),
146
      carry_in   => c04,
147
      sum        => adder11_output_int(04),
148
      carry      => c05
149
      );
150
 
151
fa03 : fulladder
152
   port map (
153
      addend     => addend_11bit(03),
154
      augend     => augend_11bit(03),
155
      carry_in   => c03,
156
      sum        => adder11_output_int(03),
157
      carry      => c04
158
      );
159
 
160
fa02 : fulladder
161
   port map (
162
      addend     => addend_11bit(02),
163
      augend     => augend_11bit(02),
164
      carry_in   => c02,
165
      sum        => adder11_output_int(02),
166
      carry      => c03
167
      );
168
 
169
fa01 : fulladder
170
   port map (
171
      addend     => addend_11bit(01),
172
      augend     => augend_11bit(01),
173
      carry_in   => c01,
174
      sum        => adder11_output_int(01),
175
      carry      => c02
176
      );
177
 
178
fa00 : fulladder
179
   port map (
180
      addend     => addend_11bit(00),
181
      augend     => augend_11bit(00),
182
      carry_in   => c00,
183
      sum        => adder11_output_int(00),
184
      carry      => c01
185
      );
186
 
187
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.