OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [source/] [adder_12bit.vhdl] - Blame information for rev 14

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 14 arif_endro
-- $Id: adder_12bit.vhdl,v 1.3 2005-03-04 08:06:13 arif_endro Exp $
2 2 arif_endro
-------------------------------------------------------------------------------
3
-- Title       : Adder 12 bit
4
-- Project     : FM Receiver 
5
-------------------------------------------------------------------------------
6
-- File        : adder_12bit.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2004/12/01
9
-- Last update : 
10 13 arif_endro
-- Simulators  : 
11 2 arif_endro
-- Synthesizers: 
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Ripple carry adder 12 bit with output 13 bit
15
-------------------------------------------------------------------------------
16 13 arif_endro
-- Copyright (C) 2004 Arif E. Nugroho
17 2 arif_endro
-- This VHDL design file is an open design; you can redistribute it and/or
18
-- modify it and/or implement it after contacting the author
19
-------------------------------------------------------------------------------
20 13 arif_endro
-------------------------------------------------------------------------------
21
-- 
22
--      THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
23
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
24
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
25
-- ASSOCIATED DISCLAIMER.
26
-- 
27
-------------------------------------------------------------------------------
28
-- 
29
--      THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
30
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
31
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
32
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
33
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
34
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
35
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
36
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
37
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
38
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
39
-- 
40
-------------------------------------------------------------------------------
41 2 arif_endro
 
42
library IEEE;
43
use IEEE.STD_LOGIC_1164.ALL;
44
 
45
entity adder_12bit is
46
   port (
47
      addend_12bit  : in  bit_vector (11 downto 0);
48
      augend_12bit  : in  bit_vector (11 downto 0);
49
      adder12_output: out bit_vector (12 downto 0)  -- 13bit output
50
      );
51
end adder_12bit;
52
 
53
architecture structural of adder_12bit is
54
 
55
   component fulladder
56
      port (
57
      addend        : in   bit;
58
      augend        : in   bit;
59
      carry_in      : in   bit;
60
      sum           : out  bit;
61
      carry         : out  bit
62
      );
63
   end component;
64
 
65
-- internal signal
66
signal over12 : bit;
67
signal adder12_output_int : bit_vector (12 downto 0);
68
signal c00 : bit;
69
signal c01 : bit;
70
signal c02 : bit;
71
signal c03 : bit;
72
signal c04 : bit;
73
signal c05 : bit;
74
signal c06 : bit;
75
signal c07 : bit;
76
signal c08 : bit;
77
signal c09 : bit;
78
signal c10 : bit;
79
signal c11 : bit;
80
signal c12 : bit;
81
 
82
begin
83
 
84
c00                     <= '0';
85
over12                  <= (addend_12bit (11) xor augend_12bit (11));
86
adder12_output_int (12) <= ((adder12_output_int (11) and over12) or
87
                           (c12 and (not (over12))));
88
adder12_output          <= adder12_output_int;
89
 
90
fa11 : fulladder
91
   port map (
92
      addend     => addend_12bit(11),
93
      augend     => augend_12bit(11),
94
      carry_in   => c11,
95
      sum        => adder12_output_int(11),
96
      carry      => c12
97
      );
98
 
99
fa10 : fulladder
100
   port map (
101
      addend     => addend_12bit(10),
102
      augend     => augend_12bit(10),
103
      carry_in   => c10,
104
      sum        => adder12_output_int(10),
105
      carry      => c11
106
      );
107
 
108
fa09 : fulladder
109
   port map (
110
      addend     => addend_12bit(09),
111
      augend     => augend_12bit(09),
112
      carry_in   => c09,
113
      sum        => adder12_output_int(09),
114
      carry      => c10
115
      );
116
 
117
fa08 : fulladder
118
   port map (
119
      addend     => addend_12bit(08),
120
      augend     => augend_12bit(08),
121
      carry_in   => c08,
122
      sum        => adder12_output_int(08),
123
      carry      => c09
124
      );
125
 
126
fa07 : fulladder
127
   port map (
128
      addend     => addend_12bit(07),
129
      augend     => augend_12bit(07),
130
      carry_in   => c07,
131
      sum        => adder12_output_int(07),
132
      carry      => c08
133
      );
134
 
135
fa06 : fulladder
136
   port map (
137
      addend     => addend_12bit(06),
138
      augend     => augend_12bit(06),
139
      carry_in   => c06,
140
      sum        => adder12_output_int(06),
141
      carry      => c07
142
      );
143
 
144
fa05 : fulladder
145
   port map (
146
      addend     => addend_12bit(05),
147
      augend     => augend_12bit(05),
148
      carry_in   => c05,
149
      sum        => adder12_output_int(05),
150
      carry      => c06
151
      );
152
 
153
fa04 : fulladder
154
   port map (
155
      addend     => addend_12bit(04),
156
      augend     => augend_12bit(04),
157
      carry_in   => c04,
158
      sum        => adder12_output_int(04),
159
      carry      => c05
160
      );
161
 
162
fa03 : fulladder
163
   port map (
164
      addend     => addend_12bit(03),
165
      augend     => augend_12bit(03),
166
      carry_in   => c03,
167
      sum        => adder12_output_int(03),
168
      carry      => c04
169
      );
170
 
171
fa02 : fulladder
172
   port map (
173
      addend     => addend_12bit(02),
174
      augend     => augend_12bit(02),
175
      carry_in   => c02,
176
      sum        => adder12_output_int(02),
177
      carry      => c03
178
      );
179
 
180
fa01 : fulladder
181
   port map (
182
      addend     => addend_12bit(01),
183
      augend     => augend_12bit(01),
184
      carry_in   => c01,
185
      sum        => adder12_output_int(01),
186
      carry      => c02
187
      );
188
 
189
fa00 : fulladder
190
   port map (
191
      addend     => addend_12bit(00),
192
      augend     => augend_12bit(00),
193
      carry_in   => c00,
194
      sum        => adder12_output_int(00),
195
      carry      => c01
196
      );
197
 
198
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.