OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [source/] [adder_12bit.vhdl] - Blame information for rev 32

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 22 arif_endro
-- $Id: adder_12bit.vhdl,v 1.4 2008-06-26 06:12:29 arif_endro Exp $
2 2 arif_endro
-------------------------------------------------------------------------------
3
-- Title       : Adder 12 bit
4
-- Project     : FM Receiver 
5
-------------------------------------------------------------------------------
6
-- File        : adder_12bit.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2004/12/01
9
-- Last update : 
10 13 arif_endro
-- Simulators  : 
11 2 arif_endro
-- Synthesizers: 
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Ripple carry adder 12 bit with output 13 bit
15
-------------------------------------------------------------------------------
16 13 arif_endro
-- Copyright (C) 2004 Arif E. Nugroho
17 2 arif_endro
-- This VHDL design file is an open design; you can redistribute it and/or
18
-- modify it and/or implement it after contacting the author
19
-------------------------------------------------------------------------------
20 13 arif_endro
-------------------------------------------------------------------------------
21
-- 
22
--      THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
23
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
24
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
25
-- ASSOCIATED DISCLAIMER.
26
-- 
27
-------------------------------------------------------------------------------
28
-- 
29
--      THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
30
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
31
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
32
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
33
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
34
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
35
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
36
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
37
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
38
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
39
-- 
40
-------------------------------------------------------------------------------
41 2 arif_endro
 
42
library IEEE;
43
use IEEE.STD_LOGIC_1164.ALL;
44
 
45
entity adder_12bit is
46
   port (
47
      addend_12bit  : in  bit_vector (11 downto 0);
48
      augend_12bit  : in  bit_vector (11 downto 0);
49
      adder12_output: out bit_vector (12 downto 0)  -- 13bit output
50
      );
51
end adder_12bit;
52
 
53
architecture structural of adder_12bit is
54
 
55
   component fulladder
56
      port (
57
      addend        : in   bit;
58
      augend        : in   bit;
59
      carry_in      : in   bit;
60
      sum           : out  bit;
61
      carry         : out  bit
62
      );
63
   end component;
64
 
65
-- internal signal
66
signal over12 : bit;
67 22 arif_endro
signal adder12_output_int : bit_vector (11 downto 0);
68 2 arif_endro
signal c00 : bit;
69
signal c01 : bit;
70
signal c02 : bit;
71
signal c03 : bit;
72
signal c04 : bit;
73
signal c05 : bit;
74
signal c06 : bit;
75
signal c07 : bit;
76
signal c08 : bit;
77
signal c09 : bit;
78
signal c10 : bit;
79
signal c11 : bit;
80
signal c12 : bit;
81 22 arif_endro
signal ov  : bit;
82 2 arif_endro
 
83
begin
84
 
85
c00                     <= '0';
86
over12                  <= (addend_12bit (11) xor augend_12bit (11));
87 22 arif_endro
ov                      <= ((adder12_output_int (11) and over12) or
88 2 arif_endro
                           (c12 and (not (over12))));
89 22 arif_endro
adder12_output(11 downto 00) <= adder12_output_int;
90
adder12_output(12)           <= ov;
91 2 arif_endro
 
92
fa11 : fulladder
93
   port map (
94
      addend     => addend_12bit(11),
95
      augend     => augend_12bit(11),
96
      carry_in   => c11,
97
      sum        => adder12_output_int(11),
98
      carry      => c12
99
      );
100
 
101
fa10 : fulladder
102
   port map (
103
      addend     => addend_12bit(10),
104
      augend     => augend_12bit(10),
105
      carry_in   => c10,
106
      sum        => adder12_output_int(10),
107
      carry      => c11
108
      );
109
 
110
fa09 : fulladder
111
   port map (
112
      addend     => addend_12bit(09),
113
      augend     => augend_12bit(09),
114
      carry_in   => c09,
115
      sum        => adder12_output_int(09),
116
      carry      => c10
117
      );
118
 
119
fa08 : fulladder
120
   port map (
121
      addend     => addend_12bit(08),
122
      augend     => augend_12bit(08),
123
      carry_in   => c08,
124
      sum        => adder12_output_int(08),
125
      carry      => c09
126
      );
127
 
128
fa07 : fulladder
129
   port map (
130
      addend     => addend_12bit(07),
131
      augend     => augend_12bit(07),
132
      carry_in   => c07,
133
      sum        => adder12_output_int(07),
134
      carry      => c08
135
      );
136
 
137
fa06 : fulladder
138
   port map (
139
      addend     => addend_12bit(06),
140
      augend     => augend_12bit(06),
141
      carry_in   => c06,
142
      sum        => adder12_output_int(06),
143
      carry      => c07
144
      );
145
 
146
fa05 : fulladder
147
   port map (
148
      addend     => addend_12bit(05),
149
      augend     => augend_12bit(05),
150
      carry_in   => c05,
151
      sum        => adder12_output_int(05),
152
      carry      => c06
153
      );
154
 
155
fa04 : fulladder
156
   port map (
157
      addend     => addend_12bit(04),
158
      augend     => augend_12bit(04),
159
      carry_in   => c04,
160
      sum        => adder12_output_int(04),
161
      carry      => c05
162
      );
163
 
164
fa03 : fulladder
165
   port map (
166
      addend     => addend_12bit(03),
167
      augend     => augend_12bit(03),
168
      carry_in   => c03,
169
      sum        => adder12_output_int(03),
170
      carry      => c04
171
      );
172
 
173
fa02 : fulladder
174
   port map (
175
      addend     => addend_12bit(02),
176
      augend     => augend_12bit(02),
177
      carry_in   => c02,
178
      sum        => adder12_output_int(02),
179
      carry      => c03
180
      );
181
 
182
fa01 : fulladder
183
   port map (
184
      addend     => addend_12bit(01),
185
      augend     => augend_12bit(01),
186
      carry_in   => c01,
187
      sum        => adder12_output_int(01),
188
      carry      => c02
189
      );
190
 
191
fa00 : fulladder
192
   port map (
193
      addend     => addend_12bit(00),
194
      augend     => augend_12bit(00),
195
      carry_in   => c00,
196
      sum        => adder12_output_int(00),
197
      carry      => c01
198
      );
199
 
200
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.