OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [source/] [adder_12bit.vhdl] - Blame information for rev 46

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 arif_endro
-- ------------------------------------------------------------------------
2 39 arif_endro
-- Copyright (C) 2004 Arif Endro Nugroho
3 46 arif_endro
-- All rights reserved.
4 13 arif_endro
-- 
5 46 arif_endro
-- Redistribution and use in source and binary forms, with or without
6
-- modification, are permitted provided that the following conditions
7
-- are met:
8 13 arif_endro
-- 
9 46 arif_endro
-- 1. Redistributions of source code must retain the above copyright
10
--    notice, this list of conditions and the following disclaimer.
11
-- 2. Redistributions in binary form must reproduce the above copyright
12
--    notice, this list of conditions and the following disclaimer in the
13
--    documentation and/or other materials provided with the distribution.
14 13 arif_endro
-- 
15 46 arif_endro
-- THIS SOFTWARE IS PROVIDED BY ARIF ENDRO NUGROHO "AS IS" AND ANY EXPRESS
16
-- OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
17
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
18
-- DISCLAIMED. IN NO EVENT SHALL ARIF ENDRO NUGROHO BE LIABLE FOR ANY
19
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
20
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
21
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
22
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
23
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
24
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
25
-- POSSIBILITY OF SUCH DAMAGE.
26 13 arif_endro
-- 
27 46 arif_endro
-- End Of License.
28
-- ------------------------------------------------------------------------
29 2 arif_endro
 
30
library IEEE;
31
use IEEE.STD_LOGIC_1164.ALL;
32
 
33
entity adder_12bit is
34
   port (
35
      addend_12bit  : in  bit_vector (11 downto 0);
36
      augend_12bit  : in  bit_vector (11 downto 0);
37
      adder12_output: out bit_vector (12 downto 0)  -- 13bit output
38
      );
39
end adder_12bit;
40
 
41
architecture structural of adder_12bit is
42
 
43
   component fulladder
44
      port (
45
      addend        : in   bit;
46
      augend        : in   bit;
47
      carry_in      : in   bit;
48
      sum           : out  bit;
49
      carry         : out  bit
50
      );
51
   end component;
52
 
53
-- internal signal
54
signal over12 : bit;
55 22 arif_endro
signal adder12_output_int : bit_vector (11 downto 0);
56 2 arif_endro
signal c00 : bit;
57
signal c01 : bit;
58
signal c02 : bit;
59
signal c03 : bit;
60
signal c04 : bit;
61
signal c05 : bit;
62
signal c06 : bit;
63
signal c07 : bit;
64
signal c08 : bit;
65
signal c09 : bit;
66
signal c10 : bit;
67
signal c11 : bit;
68
signal c12 : bit;
69 22 arif_endro
signal ov  : bit;
70 2 arif_endro
 
71
begin
72
 
73
c00                     <= '0';
74
over12                  <= (addend_12bit (11) xor augend_12bit (11));
75 22 arif_endro
ov                      <= ((adder12_output_int (11) and over12) or
76 2 arif_endro
                           (c12 and (not (over12))));
77 22 arif_endro
adder12_output(11 downto 00) <= adder12_output_int;
78
adder12_output(12)           <= ov;
79 2 arif_endro
 
80
fa11 : fulladder
81
   port map (
82
      addend     => addend_12bit(11),
83
      augend     => augend_12bit(11),
84
      carry_in   => c11,
85
      sum        => adder12_output_int(11),
86
      carry      => c12
87
      );
88
 
89
fa10 : fulladder
90
   port map (
91
      addend     => addend_12bit(10),
92
      augend     => augend_12bit(10),
93
      carry_in   => c10,
94
      sum        => adder12_output_int(10),
95
      carry      => c11
96
      );
97
 
98
fa09 : fulladder
99
   port map (
100
      addend     => addend_12bit(09),
101
      augend     => augend_12bit(09),
102
      carry_in   => c09,
103
      sum        => adder12_output_int(09),
104
      carry      => c10
105
      );
106
 
107
fa08 : fulladder
108
   port map (
109
      addend     => addend_12bit(08),
110
      augend     => augend_12bit(08),
111
      carry_in   => c08,
112
      sum        => adder12_output_int(08),
113
      carry      => c09
114
      );
115
 
116
fa07 : fulladder
117
   port map (
118
      addend     => addend_12bit(07),
119
      augend     => augend_12bit(07),
120
      carry_in   => c07,
121
      sum        => adder12_output_int(07),
122
      carry      => c08
123
      );
124
 
125
fa06 : fulladder
126
   port map (
127
      addend     => addend_12bit(06),
128
      augend     => augend_12bit(06),
129
      carry_in   => c06,
130
      sum        => adder12_output_int(06),
131
      carry      => c07
132
      );
133
 
134
fa05 : fulladder
135
   port map (
136
      addend     => addend_12bit(05),
137
      augend     => augend_12bit(05),
138
      carry_in   => c05,
139
      sum        => adder12_output_int(05),
140
      carry      => c06
141
      );
142
 
143
fa04 : fulladder
144
   port map (
145
      addend     => addend_12bit(04),
146
      augend     => augend_12bit(04),
147
      carry_in   => c04,
148
      sum        => adder12_output_int(04),
149
      carry      => c05
150
      );
151
 
152
fa03 : fulladder
153
   port map (
154
      addend     => addend_12bit(03),
155
      augend     => augend_12bit(03),
156
      carry_in   => c03,
157
      sum        => adder12_output_int(03),
158
      carry      => c04
159
      );
160
 
161
fa02 : fulladder
162
   port map (
163
      addend     => addend_12bit(02),
164
      augend     => augend_12bit(02),
165
      carry_in   => c02,
166
      sum        => adder12_output_int(02),
167
      carry      => c03
168
      );
169
 
170
fa01 : fulladder
171
   port map (
172
      addend     => addend_12bit(01),
173
      augend     => augend_12bit(01),
174
      carry_in   => c01,
175
      sum        => adder12_output_int(01),
176
      carry      => c02
177
      );
178
 
179
fa00 : fulladder
180
   port map (
181
      addend     => addend_12bit(00),
182
      augend     => augend_12bit(00),
183
      carry_in   => c00,
184
      sum        => adder12_output_int(00),
185
      carry      => c01
186
      );
187
 
188
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.