OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [source/] [adder_13bit.vhdl] - Blame information for rev 13

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 arif_endro
-- $Id: adder_13bit.vhdl,v 1.2 2005-02-21 06:54:28 arif_endro Exp $
2 2 arif_endro
-------------------------------------------------------------------------------
3
-- Title       : Adder 13 bit
4
-- Project     : FM Receiver 
5
-------------------------------------------------------------------------------
6
-- File        : adder_13bit.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2004/12/23
9
-- Last update : 
10 13 arif_endro
-- Simulators  : 
11 2 arif_endro
-- Synthesizers: 
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Ripple carry adder 13 bit with output 14 bit
15
-------------------------------------------------------------------------------
16 13 arif_endro
-- Copyright (C) 2004 Arif E. Nugroho
17 2 arif_endro
-- This VHDL design file is an open design; you can redistribute it and/or
18
-- modify it and/or implement it after contacting the author
19
-------------------------------------------------------------------------------
20 13 arif_endro
-------------------------------------------------------------------------------
21
-- 
22
--      THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
23
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
24
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
25
-- ASSOCIATED DISCLAIMER.
26
-- 
27
-------------------------------------------------------------------------------
28
-- 
29
--      THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
30
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
31
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
32
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
33
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
34
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
35
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
36
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
37
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
38
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
39
-- 
40
-------------------------------------------------------------------------------
41 2 arif_endro
 
42
library IEEE;
43
use IEEE.STD_LOGIC_1164.ALL;
44
use IEEE.STD_LOGIC_arith.ALL;
45
 
46
entity adder_13bit is
47
   port (
48
      addend_13bit  : in  bit_vector (12 downto 0);
49
      augend_13bit  : in  bit_vector (12 downto 0);
50
      adder13_output: out bit_vector (13 downto 0) -- 14 bit output
51
      );
52
end adder_13bit;
53
 
54
architecture structural of adder_13bit is
55
 
56
   component fulladder
57
      port (
58
      addend        : in   bit;
59
      augend        : in   bit;
60
      carry_in      : in   bit;
61
      sum           : out  bit;
62
      carry         : out  bit
63
      );
64
   end component;
65
 
66
-- internal signal
67
signal c00 : bit;
68
signal c01 : bit;
69
signal c02 : bit;
70
signal c03 : bit;
71
signal c04 : bit;
72
signal c05 : bit;
73
signal c06 : bit;
74
signal c07 : bit;
75
signal c08 : bit;
76
signal c09 : bit;
77
signal c10 : bit;
78
signal c11 : bit;
79
signal c12 : bit;
80
signal c13 : bit;
81
signal over13 : bit;
82
signal adder13_output_int : bit_vector (13 downto 0);
83
 
84
begin
85
 
86
c00                    <= '0';
87
over13                 <= (addend_13bit (12) xor augend_13bit (12));
88
adder13_output_int(13) <= ((adder13_output_int(12) and over13) or
89
                          (c13 and (not (over13))));
90
adder13_output         <= adder13_output_int;
91
 
92
fa12 : fulladder
93
   port map (
94
      addend     => addend_13bit(12),
95
      augend     => augend_13bit(12),
96
      carry_in   => c12,
97
      sum        => adder13_output_int(12),
98
      carry      => c13
99
      );
100
 
101
fa11 : fulladder
102
   port map (
103
      addend     => addend_13bit(11),
104
      augend     => augend_13bit(11),
105
      carry_in   => c11,
106
      sum        => adder13_output_int(11),
107
      carry      => c12
108
      );
109
 
110
fa10 : fulladder
111
   port map (
112
      addend     => addend_13bit(10),
113
      augend     => augend_13bit(10),
114
      carry_in   => c10,
115
      sum        => adder13_output_int(10),
116
      carry      => c11
117
      );
118
 
119
fa09 : fulladder
120
   port map (
121
      addend     => addend_13bit(09),
122
      augend     => augend_13bit(09),
123
      carry_in   => c09,
124
      sum        => adder13_output_int(09),
125
      carry      => c10
126
      );
127
 
128
fa08 : fulladder
129
   port map (
130
      addend     => addend_13bit(08),
131
      augend     => augend_13bit(08),
132
      carry_in   => c08,
133
      sum        => adder13_output_int(08),
134
      carry      => c09
135
      );
136
 
137
fa07 : fulladder
138
   port map (
139
      addend     => addend_13bit(07),
140
      augend     => augend_13bit(07),
141
      carry_in   => c07,
142
      sum        => adder13_output_int(07),
143
      carry      => c08
144
      );
145
 
146
fa06 : fulladder
147
   port map (
148
      addend     => addend_13bit(06),
149
      augend     => augend_13bit(06),
150
      carry_in   => c06,
151
      sum        => adder13_output_int(06),
152
      carry      => c07
153
      );
154
 
155
fa05 : fulladder
156
   port map (
157
      addend     => addend_13bit(05),
158
      augend     => augend_13bit(05),
159
      carry_in   => c05,
160
      sum        => adder13_output_int(05),
161
      carry      => c06
162
      );
163
 
164
fa04 : fulladder
165
   port map (
166
      addend     => addend_13bit(04),
167
      augend     => augend_13bit(04),
168
      carry_in   => c04,
169
      sum        => adder13_output_int(04),
170
      carry      => c05
171
      );
172
 
173
fa03 : fulladder
174
   port map (
175
      addend     => addend_13bit(03),
176
      augend     => augend_13bit(03),
177
      carry_in   => c03,
178
      sum        => adder13_output_int(03),
179
      carry      => c04
180
      );
181
 
182
fa02 : fulladder
183
   port map (
184
      addend     => addend_13bit(02),
185
      augend     => augend_13bit(02),
186
      carry_in   => c02,
187
      sum        => adder13_output_int(02),
188
      carry      => c03
189
      );
190
 
191
fa01 : fulladder
192
   port map (
193
      addend     => addend_13bit(01),
194
      augend     => augend_13bit(01),
195
      carry_in   => c01,
196
      sum        => adder13_output_int(01),
197
      carry      => c02
198
      );
199
 
200
fa00 : fulladder
201
   port map (
202
      addend     => addend_13bit(00),
203
      augend     => augend_13bit(00),
204
      carry_in   => c00,
205
      sum        => adder13_output_int(00),
206
      carry      => c01
207
      );
208
 
209
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.