OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [source/] [adder_13bit.vhdl] - Blame information for rev 14

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 14 arif_endro
-- $Id: adder_13bit.vhdl,v 1.3 2005-03-04 08:06:14 arif_endro Exp $
2 2 arif_endro
-------------------------------------------------------------------------------
3
-- Title       : Adder 13 bit
4
-- Project     : FM Receiver 
5
-------------------------------------------------------------------------------
6
-- File        : adder_13bit.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2004/12/23
9
-- Last update : 
10 13 arif_endro
-- Simulators  : 
11 2 arif_endro
-- Synthesizers: 
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Ripple carry adder 13 bit with output 14 bit
15
-------------------------------------------------------------------------------
16 13 arif_endro
-- Copyright (C) 2004 Arif E. Nugroho
17 2 arif_endro
-- This VHDL design file is an open design; you can redistribute it and/or
18
-- modify it and/or implement it after contacting the author
19
-------------------------------------------------------------------------------
20 13 arif_endro
-------------------------------------------------------------------------------
21
-- 
22
--      THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
23
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
24
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
25
-- ASSOCIATED DISCLAIMER.
26
-- 
27
-------------------------------------------------------------------------------
28
-- 
29
--      THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
30
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
31
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
32
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
33
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
34
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
35
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
36
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
37
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
38
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
39
-- 
40
-------------------------------------------------------------------------------
41 2 arif_endro
 
42
library IEEE;
43
use IEEE.STD_LOGIC_1164.ALL;
44
 
45
entity adder_13bit is
46
   port (
47
      addend_13bit  : in  bit_vector (12 downto 0);
48
      augend_13bit  : in  bit_vector (12 downto 0);
49
      adder13_output: out bit_vector (13 downto 0) -- 14 bit output
50
      );
51
end adder_13bit;
52
 
53
architecture structural of adder_13bit is
54
 
55
   component fulladder
56
      port (
57
      addend        : in   bit;
58
      augend        : in   bit;
59
      carry_in      : in   bit;
60
      sum           : out  bit;
61
      carry         : out  bit
62
      );
63
   end component;
64
 
65
-- internal signal
66
signal c00 : bit;
67
signal c01 : bit;
68
signal c02 : bit;
69
signal c03 : bit;
70
signal c04 : bit;
71
signal c05 : bit;
72
signal c06 : bit;
73
signal c07 : bit;
74
signal c08 : bit;
75
signal c09 : bit;
76
signal c10 : bit;
77
signal c11 : bit;
78
signal c12 : bit;
79
signal c13 : bit;
80
signal over13 : bit;
81
signal adder13_output_int : bit_vector (13 downto 0);
82
 
83
begin
84
 
85
c00                    <= '0';
86
over13                 <= (addend_13bit (12) xor augend_13bit (12));
87
adder13_output_int(13) <= ((adder13_output_int(12) and over13) or
88
                          (c13 and (not (over13))));
89
adder13_output         <= adder13_output_int;
90
 
91
fa12 : fulladder
92
   port map (
93
      addend     => addend_13bit(12),
94
      augend     => augend_13bit(12),
95
      carry_in   => c12,
96
      sum        => adder13_output_int(12),
97
      carry      => c13
98
      );
99
 
100
fa11 : fulladder
101
   port map (
102
      addend     => addend_13bit(11),
103
      augend     => augend_13bit(11),
104
      carry_in   => c11,
105
      sum        => adder13_output_int(11),
106
      carry      => c12
107
      );
108
 
109
fa10 : fulladder
110
   port map (
111
      addend     => addend_13bit(10),
112
      augend     => augend_13bit(10),
113
      carry_in   => c10,
114
      sum        => adder13_output_int(10),
115
      carry      => c11
116
      );
117
 
118
fa09 : fulladder
119
   port map (
120
      addend     => addend_13bit(09),
121
      augend     => augend_13bit(09),
122
      carry_in   => c09,
123
      sum        => adder13_output_int(09),
124
      carry      => c10
125
      );
126
 
127
fa08 : fulladder
128
   port map (
129
      addend     => addend_13bit(08),
130
      augend     => augend_13bit(08),
131
      carry_in   => c08,
132
      sum        => adder13_output_int(08),
133
      carry      => c09
134
      );
135
 
136
fa07 : fulladder
137
   port map (
138
      addend     => addend_13bit(07),
139
      augend     => augend_13bit(07),
140
      carry_in   => c07,
141
      sum        => adder13_output_int(07),
142
      carry      => c08
143
      );
144
 
145
fa06 : fulladder
146
   port map (
147
      addend     => addend_13bit(06),
148
      augend     => augend_13bit(06),
149
      carry_in   => c06,
150
      sum        => adder13_output_int(06),
151
      carry      => c07
152
      );
153
 
154
fa05 : fulladder
155
   port map (
156
      addend     => addend_13bit(05),
157
      augend     => augend_13bit(05),
158
      carry_in   => c05,
159
      sum        => adder13_output_int(05),
160
      carry      => c06
161
      );
162
 
163
fa04 : fulladder
164
   port map (
165
      addend     => addend_13bit(04),
166
      augend     => augend_13bit(04),
167
      carry_in   => c04,
168
      sum        => adder13_output_int(04),
169
      carry      => c05
170
      );
171
 
172
fa03 : fulladder
173
   port map (
174
      addend     => addend_13bit(03),
175
      augend     => augend_13bit(03),
176
      carry_in   => c03,
177
      sum        => adder13_output_int(03),
178
      carry      => c04
179
      );
180
 
181
fa02 : fulladder
182
   port map (
183
      addend     => addend_13bit(02),
184
      augend     => augend_13bit(02),
185
      carry_in   => c02,
186
      sum        => adder13_output_int(02),
187
      carry      => c03
188
      );
189
 
190
fa01 : fulladder
191
   port map (
192
      addend     => addend_13bit(01),
193
      augend     => augend_13bit(01),
194
      carry_in   => c01,
195
      sum        => adder13_output_int(01),
196
      carry      => c02
197
      );
198
 
199
fa00 : fulladder
200
   port map (
201
      addend     => addend_13bit(00),
202
      augend     => augend_13bit(00),
203
      carry_in   => c00,
204
      sum        => adder13_output_int(00),
205
      carry      => c01
206
      );
207
 
208
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.