OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [source/] [adder_14bit.vhdl] - Blame information for rev 13

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 arif_endro
-- $Id: adder_14bit.vhdl,v 1.2 2005-02-21 06:54:30 arif_endro Exp $
2 2 arif_endro
-------------------------------------------------------------------------------
3
-- Title       : Adder 14 bit
4
-- Project     : FM Receiver 
5
-------------------------------------------------------------------------------
6
-- File        : adder_14bit.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2004/12/23
9
-- Last update : 
10 13 arif_endro
-- Simulators  : 
11 2 arif_endro
-- Synthesizers: 
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Ripple carry adder 14 bit with output 15 bit
15
-------------------------------------------------------------------------------
16 13 arif_endro
-- Copyright (C) 2004 Arif E. Nugroho
17 2 arif_endro
-- This VHDL design file is an open design; you can redistribute it and/or
18
-- modify it and/or implement it after contacting the author
19
-------------------------------------------------------------------------------
20 13 arif_endro
-------------------------------------------------------------------------------
21
-- 
22
--      THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
23
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
24
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
25
-- ASSOCIATED DISCLAIMER.
26
-- 
27
-------------------------------------------------------------------------------
28
-- 
29
--      THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
30
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
31
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
32
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
33
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
34
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
35
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
36
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
37
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
38
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
39
-- 
40
-------------------------------------------------------------------------------
41 2 arif_endro
 
42
library IEEE;
43
use IEEE.STD_LOGIC_1164.ALL;
44
use IEEE.STD_LOGIC_arith.ALL;
45
 
46
entity adder_14bit is
47
   port (
48
      addend_14bit  : in  bit_vector (13 downto 0);
49
      augend_14bit  : in  bit_vector (13 downto 0);
50
      adder14_output: out bit_vector (14 downto 0) -- 15bit output
51
      );
52
end adder_14bit;
53
 
54
architecture structural of adder_14bit is
55
 
56
   component fulladder
57
      port (
58
      addend        : in   bit;
59
      augend        : in   bit;
60
      carry_in      : in   bit;
61
      sum           : out  bit;
62
      carry         : out  bit
63
      );
64
   end component;
65
 
66
-- internal signal
67
signal c00 : bit;
68
signal c01 : bit;
69
signal c02 : bit;
70
signal c03 : bit;
71
signal c04 : bit;
72
signal c05 : bit;
73
signal c06 : bit;
74
signal c07 : bit;
75
signal c08 : bit;
76
signal c09 : bit;
77
signal c10 : bit;
78
signal c11 : bit;
79
signal c12 : bit;
80
signal c13 : bit;
81
signal c14 : bit;
82
signal over14 : bit;
83
signal adder14_output_int : bit_vector (14 downto 0);
84
 
85
begin
86
 
87
c00                     <= '0';
88
over14                  <= (addend_14bit (13) xor augend_14bit (13));
89
adder14_output_int (14) <= ((adder14_output_int (13) and over14) or
90
                           (c14 and (not (over14))));
91
adder14_output          <= adder14_output_int;
92
 
93
fa13 : fulladder
94
   port map (
95
      addend     => addend_14bit(13),
96
      augend     => augend_14bit(13),
97
      carry_in   => c13,
98
      sum        => adder14_output_int(13),
99
      carry      => c14
100
      );
101
 
102
fa12 : fulladder
103
   port map (
104
      addend     => addend_14bit(12),
105
      augend     => augend_14bit(12),
106
      carry_in   => c12,
107
      sum        => adder14_output_int(12),
108
      carry      => c13
109
      );
110
 
111
fa11 : fulladder
112
   port map (
113
      addend     => addend_14bit(11),
114
      augend     => augend_14bit(11),
115
      carry_in   => c11,
116
      sum        => adder14_output_int(11),
117
      carry      => c12
118
      );
119
 
120
fa10 : fulladder
121
   port map (
122
      addend     => addend_14bit(10),
123
      augend     => augend_14bit(10),
124
      carry_in   => c10,
125
      sum        => adder14_output_int(10),
126
      carry      => c11
127
      );
128
 
129
fa09 : fulladder
130
   port map (
131
      addend     => addend_14bit(09),
132
      augend     => augend_14bit(09),
133
      carry_in   => c09,
134
      sum        => adder14_output_int(09),
135
      carry      => c10
136
      );
137
 
138
fa08 : fulladder
139
   port map (
140
      addend     => addend_14bit(08),
141
      augend     => augend_14bit(08),
142
      carry_in   => c08,
143
      sum        => adder14_output_int(08),
144
      carry      => c09
145
      );
146
 
147
fa07 : fulladder
148
   port map (
149
      addend     => addend_14bit(07),
150
      augend     => augend_14bit(07),
151
      carry_in   => c07,
152
      sum        => adder14_output_int(07),
153
      carry      => c08
154
      );
155
 
156
fa06 : fulladder
157
   port map (
158
      addend     => addend_14bit(06),
159
      augend     => augend_14bit(06),
160
      carry_in   => c06,
161
      sum        => adder14_output_int(06),
162
      carry      => c07
163
      );
164
 
165
fa05 : fulladder
166
   port map (
167
      addend     => addend_14bit(05),
168
      augend     => augend_14bit(05),
169
      carry_in   => c05,
170
      sum        => adder14_output_int(05),
171
      carry      => c06
172
      );
173
 
174
fa04 : fulladder
175
   port map (
176
      addend     => addend_14bit(04),
177
      augend     => augend_14bit(04),
178
      carry_in   => c04,
179
      sum        => adder14_output_int(04),
180
      carry      => c05
181
      );
182
 
183
fa03 : fulladder
184
   port map (
185
      addend     => addend_14bit(03),
186
      augend     => augend_14bit(03),
187
      carry_in   => c03,
188
      sum        => adder14_output_int(03),
189
      carry      => c04
190
      );
191
 
192
fa02 : fulladder
193
   port map (
194
      addend     => addend_14bit(02),
195
      augend     => augend_14bit(02),
196
      carry_in   => c02,
197
      sum        => adder14_output_int(02),
198
      carry      => c03
199
      );
200
 
201
fa01 : fulladder
202
   port map (
203
      addend     => addend_14bit(01),
204
      augend     => augend_14bit(01),
205
      carry_in   => c01,
206
      sum        => adder14_output_int(01),
207
      carry      => c02
208
      );
209
 
210
fa00 : fulladder
211
   port map (
212
      addend     => addend_14bit(00),
213
      augend     => augend_14bit(00),
214
      carry_in   => c00,
215
      sum        => adder14_output_int(00),
216
      carry      => c01
217
      );
218
 
219
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.